Part Number Hot Search : 
RDC10320 LBC858CD AWG400 EB51F2 4ALS64 AZT71HG GZF39C WP914AT
Product Description
Full Text Search
 

To Download GX1-300P-85-20 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  amd geode? gx1 processor data book amd geode ? gx1 processor data book october 2003 publication id: june 2002 - revision 4.1
2 amd geode? gx1 processor data book ? 2003 advanced micro devices, inc. all rights reserved. the contents of this document are pr ovided in connection with advanced micro devices, inc. (?amd?) products. amd make s no representations or warranties with respect to the accuracy or completeness of the contents of this publication and reserves the right to make changes to s pecifications and produ ct descriptions at any time without notice. no license, whet her express, implied, arising by estoppel or otherwise, to any intellectual property rights is granted by this publication. except as set forth in amd?s standard terms and conditions of sale, amd assumes no liability whatsoever, and disclaims any express or implied warranty, relating to its products including, but not limited to, the implied warranty of mer- chantability, fitness for a particular purpose, or infringement of any intellectual property right. amd?s products are not designed, intend ed, authorized or warranted for use as components in systems intended for surgical implant into the body, or in other applications intended to support or sustain life, or in any other application in which the failure of amd?s product could create a situation where personal injury, death, or severe property or environmental damage may occur. amd reserves the right to discontinue or make changes to its products at any time without notice. contacts www.amd.com pcs.support@amd.com trademarks amd, the amd arrow logo, and combinations ther eof, and geode, virtual system architecture, xpressgraphics, xpressaudio, and xpressram are trademarks of advanced micro devices, inc. microsoft, windows and windows nt are registered trademarks of micr osoft corporation in the united states and other jurisdictions. mmx is trademark of intel corporation in the u.s. and/or other jurisdictions. other product names used in this publication are fo r identification purposes only and may be trademarks of their respective companies.
amd geode? gx1 processor data book 3 contents revision 4.1 contents list of figures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 list of tables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7 1.0 amd geode? gx1 processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 1.1 general description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 1.2 features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12 2.0 architecture overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 2.1 integer unit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 2.2 floating point unit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 2.3 write-back cache unit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 2.4 memory management unit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 2.5 internal bus interface unit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 2.6 integrated functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 2.7 amd geode? gx1/cs5530a system designs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18 3.0 signal definitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 3.1 pin assignments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 3.2 signal descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33 4.0 processor programming . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43 4.1 core processor initialization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43 4.2 instruction set overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45 4.3 register sets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45 4.4 address spaces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66 4.5 offset, segment, and paging mechanisms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67 4.6 interrupts and exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80 4.7 system management mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .84 4.8 halt and shutdown . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91 4.9 protection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92 4.10 virtual 8086 mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94 4.11 floating point unit operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95
4 amd geode? gx1 processor data book contents revision 4.1 5.0 integrated functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97 5.1 integrated functions programming interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98 5.2 internal bus interface unit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104 5.3 memory controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108 5.4 graphics pipeline . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124 5.5 display controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133 5.6 virtual vga subsystem . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155 5.7 pci controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163 6.0 power management . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 173 6.1 features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 173 6.2 suspend modes and bus cycles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 5 6.3 power management registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 178 7.0 electrical specifications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 181 7.1 part numbers/performance characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 181 7.2 electrical connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182 7.3 absolute maximum ratings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184 7.4 operating conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185 7.5 dc characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 186 7.6 i/o current de-rating curve . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 191 7.7 ac characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192 8.0 instruction set . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201 8.1 general instruction set format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201 8.2 cpuid instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206 8.3 processor core instruction set . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 210 8.4 fpu instruction set . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 222 8.5 mmx instruction set . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 227 8.6 extended mmx instruction set . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 231 9.0 package specifications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 233 9.1 thermal characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 233 9.2 physical dimensions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 236 appendix a support documentation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 239 a.1 order information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 239 a.2 data book revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 239
amd geode? gx1 processor data book 5 list of figures revision 4.1 list of figures figure 1-1. block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 figure 2-1. internal block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 figure 2-2. geode? gx1/cs5530a system block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18 figure 2-3. geode? gx1/cs5530a signal connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19 figure 2-4. pixel signal connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20 figure 3-1. functional block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 figure 3-2. 352 bga pin assignment diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 figure 3-3. 320 spga pin assignment diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28 figure 4-1. cache architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62 figure 4-2. memory and i/o address spaces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66 figure 4-3. offset address calculation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67 figure 4-4. real mode address calculation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68 figure 4-5. protected mode address calculation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68 figure 4-6. selector mechanisms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69 figure 4-7. selector mechanism caching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70 figure 4-8. paging mechanism . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78 figure 4-9. system management memory address space . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84 figure 4-10. smm execution flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85 figure 4-11. smi nesting state machine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90 figure 4-12. smm and suspend mode state diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91 figure 5-1. internal block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97 figure 5-2. gx1 processor memory space . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99 figure 5-3. memory controller block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108 figure 5-4. memory array configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109 figure 5-5. basic read cycle with a cas latency of two . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119 figure 5-6. basic write cycle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120 figure 5-7. auto refresh cycle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121 figure 5-8. read/wrt command to a new row address . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121 figure 5-9. sdclkin clocking . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122 figure 5-10. effects of shftsdclk programming bits example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123 figure 5-11. graphics pipeline block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124 figure 5-12. example of monochrome patterns . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126 figure 5-13. example of dither patterns . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126 figure 5-14. display controller block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133 figure 5-15. pixel arrangement within a dword . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138 figure 5-16. display controller signal connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153 figure 5-17. video port data transfer (cs5530a) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 154 figure 5-18. basic read operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170 figure 5-19. basic write operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 171 figure 5-20. basic arbitration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 172 figure 6-1. halt-initiated suspend mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 175 figure 6-2. susp#-initiated suspend mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 176 figure 6-3. pci access during suspend mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 176 figure 6-4. stopping sysclk during suspend mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 177 figure 7-1. bga recommended split power plane and decoupling . . . . . . . . . . . . . . . . . . . . . . . . . . . 182
6 amd geode? gx1 processor data book list of figures revision 4.1 figure 7-2. spga recommended split power plane and decoupling . . . . . . . . . . . . . . . . . . . . . . . . . 183 figure 7-3. absolute max i/o current de-rating curve (all speeds and core voltages) . . . . . . . . . . . 191 figure 7-4. drive level and measurement points for switching characteristics . . . . . . . . . . . . . . . . . . 192 figure 7-5. v cc2 and v cc3 por timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193 figure 7-6. sysclk timing and m easurement points . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 195 figure 7-7. sdclk[3:0] timing and measurement points . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 195 figure 7-8. output timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 196 figure 7-9. input timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 196 figure 7-10. output valid timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197 figure 7-11. setup and hold timings - read data in . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197 figure 7-12. graphics port timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 198 figure 7-13. video port timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199 figure 7-14. dclk timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199 figure 7-15. tck timing and measurement points . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 200 figure 7-16. jtag test timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 200 figure 9-1. heatsink example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 234 figure 9-2. 352-terminal bga mechanical package outline . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 236 figure 9-3. 320-pin spga mechanical package outline . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 237
amd geode? gx1 processor data book 7 list of tables revision 4.1 list of tables table 3-1. pin type definitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 table 3-2. 352 bga pin assignments - sorted by pin number . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24 table 3-3. 352 bga pin assignments - sorted alphabetically by signal name . . . . . . . . . . . . . . . . . . . 26 table 3-4. 320 spga pin assignments - sorted by pin number . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29 table 3-5. 320 spga pin assignments - sorted alphabetically by signal name . . . . . . . . . . . . . . . . . . 31 table 4-1. initialized core register controls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43 table 4-2. application register set . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47 table 4-3. segment register selection rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48 table 4-4. eflags register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49 table 4-5. system register set . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50 table 4-6. control registers map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51 table 4-7. cr4-cr0 bit definitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51 table 4-8. effects of various combinations of em, ts, and mp bits . . . . . . . . . . . . . . . . . . . . . . . . . . . 52 table 4-9. configuration register summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53 table 4-10. configuration register map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54 table 4-11. configuration registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55 table 4-12. debug registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58 table 4-13. dr7 and dr6 bit definitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59 table 4-14. tlb test registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60 table 4-15. tr7-tr6 bit definitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61 table 4-16. test registers for cache . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62 table 4-17. tr5-tr3 bit definitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63 table 4-18. cache test operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64 table 4-19. memory addressing modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67 table 4-20. gdt, ldt and idt registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71 table 4-21. application and system segment descriptors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72 table 4-22. descriptors bit definitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73 table 4-23. application and syst em segment descriptors type bit definitions . . . . . . . . . . . . . . . . . . . 74 table 4-24. gate descriptors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75 table 4-25. gate descriptors bit definitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75 table 4-26. 32-bit task state segment (tss) table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .76 table 4-27. 16-bit task state segment (tss) table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .77 table 4-28. directory table entry (dte) and page table entry (pte) . . . . . . . . . . . . . . . . . . . . . . . . . . 79 table 4-29. interrupt vector assignments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81 table 4-30. interrupt and exception priorities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82 table 4-31. exception changes in real mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83 table 4-32. error codes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83 table 4-33. error code bit definitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83 table 4-34. smm memory space header . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86 table 4-35. smm memory space header description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87 table 4-36. smm instruction set . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88 table 4-37. descriptor types used for control transfer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .93 table 4-38. fpu registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95 table 5-1. graphics control register (gcr) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98 table 5-2. display resolution screen width . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100
8 amd geode? gx1 processor data book list of tables revision 4.1 table 5-3. scratchpad organization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101 table 5-4. l1 cache bitblt register summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 02 table 5-5. l1 cache bitblt registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102 table 5-6. display driver instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103 table 5-7. address map for cpu-access registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103 table 5-8. internal bus interface unit register summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105 table 5-9. internal bus interface unit registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105 table 5-10. region-control-field bit definitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107 table 5-11. synchronous dram configurations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110 table 5-12. basic command truth table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111 table 5-13. mrs cycle address programming . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111 table 5-14. memory controller register summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112 table 5-15. memory controller registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113 table 5-16. auto loi -- 2 dimms, same size, 1 dimm bank . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117 table 5-17. auto loi -- 2 dimms, same size, 2 dimm banks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117 table 5-18. non-auto loi -- 1 or 2 dimms, different sizes, 1 dimm bank . . . . . . . . . . . . . . . . . . . . . . 118 table 5-19. non-auto loi -- 1 or 2 dimms, different sizes, 2 dimm banks . . . . . . . . . . . . . . . . . . . . . 118 table 5-20. graphics pipeline registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125 table 5-21. gp_raster_mode bit patterns . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 27 table 5-22. common raster operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127 table 5-23. graphics pipeline configuration register summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128 table 5-24. graphics pipeline configuration registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129 table 5-25. tft panel display modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135 table 5-26. crt and tft panel data bus formats . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13 6 table 5-27. crt display modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136 table 5-28. display controller register summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139 table 5-29. display controller configuration and status registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142 table 5-30. display controller memory organization registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 146 table 5-31. display controller timing registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148 table 5-32. display controller cursor and line compare registers . . . . . . . . . . . . . . . . . . . . . . . . . . . 150 table 5-33. display controller palette . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151 table 5-34. fifo diagnostic registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152 table 5-35. standard vga modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155 table 5-36. vga configuration register summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 60 table 5-37. vga configuration registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160 table 5-38. virtual vga register summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161 table 5-39. virtual vga registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161 table 5-40. special cycle code to config_address . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163 table 5-41. pci configuration registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164 table 5-42. format for accessing the internal pci configuration registers . . . . . . . . . . . . . . . . . . . . . 165 table 5-43. pci configuration space register summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165 table 5-44. pci configuration registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166 table 6-1. power management register summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 178 table 6-2. power management control and status registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179 table 6-3. power management programmable address region registers . . . . . . . . . . . . . . . . . . . . . 180 table 7-1. gx1 processor performance characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 181 table 7-2. pins with > 20-kohm internal resistor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184 table 7-3. absolute maximum ratings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184 table 7-4. operating conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185 table 7-5. dc characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 186 table 7-6. system conditions used to determine cpu current used during the on state . . . . . . . . 187 table 7-7. 1.8v dc characteristics for cpu state = on . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 188 table 7-8. 1.8v dc characteristics for cpu state = active idle, standby, and sleep . . . . . . . . . . . . . 188 table 7-9. 2.0v dc characteristics for cpu state = on . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189 table 7-10. 2.0v dc characteristics for cpu state = active idle, standby, and sleep . . . . . . . . . . . . . 189
amd geode? gx1 processor data book 9 list of tables revision 4.1 table 7-11. 2.2v dc characteristics for cpu state = on . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190 table 7-12. 2.2v dc characteristics for cpu state = active idle, standby, and sleep . . . . . . . . . . . . . 190 table 7-13. drive level and measurement points for switching characteristics . . . . . . . . . . . . . . . . . . 192 table 7-14. system signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193 table 7-15. v cc2 and v cc3 power sequencing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193 table 7-16. clock signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 194 table 7-17. pci interface signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 196 table 7-18. sdram interface signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197 table 7-19. video interface signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 198 table 7-20. jtag ac specification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 200 table 8-1. general instruction set format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201 table 8-2. instruction fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202 table 8-3. instruction prefix summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202 table 8-4. w field encoding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203 table 8-5. d field encoding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203 table 8-6. s field encoding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203 table 8-7. eee field encoding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203 table 8-8. mod r/m field encoding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 204 table 8-9. general registers selected by mod r/m fields and w field . . . . . . . . . . . . . . . . . . . . . . . . 204 table 8-10. general registers selected by reg field . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 204 table 8-11. sreg2 field encoding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 204 table 8-12. sreg3 field encoding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205 table 8-13. ss field encoding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205 table 8-14. index field encoding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205 table 8-15. mod base field encoding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205 table 8-16. cpuid levels summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206 table 8-17. cpuid data returned when eax = 0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20 6 table 8-18. eax, ebx, ecx cpuid data retu rned when eax = 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206 table 8-19. edx cpuid data returned when eax = 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207 table 8-20. standard cpuid with eax = 00000002h . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207 table 8-21. maximum extended cpuid level . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207 table 8-22. eax, ebx, ecx cpuid data returned when eax = 80000001h . . . . . . . . . . . . . . . . . . . . 208 table 8-23. edx cpuid data returned when eax = 80000001h . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208 table 8-24. official cpu name . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209 table 8-25. standard cpuid with eax = 80000005h . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209 table 8-26. processor core instruction set table legend . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 210 table 8-27. processor core instruction set summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 11 table 8-28. fpu instruction set table legend . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 222 table 8-29. fpu instruction set summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 223 table 8-30. mmx instruction set table legend . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 227 table 8-31. mmx instruction set summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 228 table 8-32. extend mmx instruction set table legend . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 231 table 8-33. extended mmx instruction set summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 232 table 9-1. junction-to-case thermal resistance for spga and bga packages . . . . . . . . . . . . . . . . 233 table 9-2. case-to-ambient thermal resistance examples @ 85c . . . . . . . . . . . . . . . . . . . . . . . . . 234 table 9-3. mechanical package outline legend . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 38 table a-1. revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 239 table a-2. edits to current revision . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 240
10 amd geode? gx1 processor data book list of tables revision 4.1
amd geode? gx1 processor data book 11 amd geode? gx1 processor revision 4.1 1.0 amd geode? gx1 processor 1.1 general description the amd geode? gx1 processor series is a line of inte- grated processors specifically designed to power informa- tion appliances for entertainment, education, and business. serving the needs of consumers and business profession- als alike, it?s the perfect solution for ia (information appli- ance) applications such as thin clients, interactive set-top boxes, and personal internet access devices. the geode gx1 processor series is divided into three main categories as defined by the core operating voltage. avail- able with core voltages of 2.2v, 2.0v, and 1.8v, it offers extremely low typical power consumption (1.4w, 1.2w, and 0.8w, respectively) leading to longer battery life and enabling small form-factor, fanless designs. typical power consumption is defined as an average, measured running microsoft? windows? at 80% active idle (suspend-on- halt) with a display resolution of 800x600x8 bpp at 75 hz. while the x86 core provides maximum compatibility with the vast amount of internet content available, the intelligent integration of several other functions, such as audio and graphics, offers a true system-level multimedia solution. figure 1-1. block diagram interrupt floating point clock module sysclk core x-bus x86 compatible core tlb instruction 16 kb unified l1 cache sysclk x-bus clk (128) fp_error int/nmi x-bus power management control susp# suspa# core suspend core acknowledge x-bus suspend x-bus acknowledge x-bus (32) c-bus (64) write read display controller timing generator intr irq13 3 req/gnt pairs pci 4 sdram clocks 64-bit graphics video amd? geode? cs5530a scratchpad arbiter smi# amd? geode? cs5530a clocks clocks fetch mmu load/store integer unit unit control controller palette ram compression buffer 2d accelerator rop unit blt engine vga buffers buffers sdram bus arbiter pci host controller multiplied by ?a? divide by ?b? companion device interface companion device interface
12 amd geode? gx1 processor data book amd geode? gx1 processor revision 4.1 the geode gx1 processor core is a proven x86 design that offers competitive performance. it contains integer and floating point execution units based on sixth-generation technology. the integer core contains a single, five-stage execution pipeline and offers advanced features such as operand forwarding, branch target buffers, and extensive write buffering. accesses to the 16 kb write-back l1 cache are dynamically reordered to eliminate pipeline stalls when fetching operands. in addition to the advanced cpu features, the gx1 proces- sor integrates a host of functions typically implemented with external components. a full function graphics acceler- ator contains a vga (video graphics array) controller, bit- blt engine, and a rop (raster operations) unit for complete gui (graphical us er interface) acceleration under most operating systems. a display controller con- tains additional video buffering to enable >30 fps mpeg1 playback and video overlay when used with the amd geode? cs5530a companion device. graphics and sys- tem memory accesses are supported by a tightly coupled sdram controller which eliminates the need for an exter- nal l2 cache. a pci host controller supports up to three bus masters for additional connectivity and multimedia capabilities. the gx1 processor also incorporates virtual system architecture? (vsa) technology. vsa technology enables the xpressgraphics? and xpressaudio? sub- systems. software handlers are available that provide full compatibility for industry standard vga and 16-bit audio functions that are transparent at the operating system level. together the cs5530a companion device and gx1 pro- cessor provide a scalable, flex ible, low-power, system-level solution well suited for a wide array of information appli- ances ranging from hand-held personal information access devices to digital set-top boxes and thin clients. 1.2 features general features packaging: ? 352-terminal ball grid array (bga) or ? 320-pin staggered pin grid array (spga) 0.18-micron four layer metal cmos process split rail design: ? available 1.8v, 2.0v, or 2.2v core ? 3.3v i/o interface fully static design low typical power consumption: ? 0.8w @ 1.8v / 200 mhz ? 0.95w @ 1.8v / 233 mhz ? 1.0w @ 1.8v / 266 mhz ? 1.2w @ 2.0v / 300 mhz ? 1.4w @ 2.2v / 333 mhz note: typical power consumption is defined as an aver- age, measured running windows at 80% active idle (suspend-on-halt) with a display resolution of 800x600x8 bpp @ 75 hz. speeds offered up to 333 mhz unified memory architecture: ? frame buffer and video memory reside in main memory ? minimizes printed circuit board (pcb) area requirements ? reduces system cost 32-bit x86 processor supports mmx? instruction set extension for the accel- eration of multimedia applications 16 kb unified l1 cache six-stage pipelined integer unit integrated floating point unit (fpu) memory management unit (mmu) adheres to standard paging mechanisms and optimizes code fetch perfor- mance: ? load-store reordering gives priority to memory reads ? memory-read bypassing eliminates unnecessary or redundant memory reads re-entrant system management mode (smm) enhanced for vsa technology
amd geode? gx1 processor data book 13 amd geode? gx1 processor revision 4.1 flexible power management supports a wide variety of standards: ? apm (advanced power management) for legacy power management ? acpi (advanced configuration and power interface) for windows power management ? direct support for all standard processor (c0-c4) states ? onnow design initiative compliant supports a wide variety of hardware and software controlled modes: ? active idle (core-only stopped, display active) ? standby (core and all integrated functions halted) ? sleep (core and integrated functions halted and all external clocks stopped) ? suspend modulation (autom atic throttling of cpu core via geode cs5530a companion device) ? programmable duty cycle for optimal performance/ thermal balancing ? several dedicated and programmable wake-up events (via geode cs5530a companion device) pci host controller several arbitration schemes supported directly supports up to three pci bus masters, more with external logic synchronous to cpu core allows external pci master accesses to main memory concurrent with cpu accesses to l1 cache virtual systems architecture? technology innovative architecture allowing os independent (soft- ware) virtualization of hardware functions provides xpressgraphics? subsystem: ? high performance legacy vga core compatibility note: the gui acceleration is pure hardware. provides 16-bit xpressaudio? subsystem: ? 16-bit stereo fm synthesis ? opl3 emulation ? supports mpu-401 midi interface ? hardware assist provided via geode cs5530a companion device additional hardware functions can be supported as needed 2d graphics accelerator accelerates bitblts, line draw, text: ? bresenham vector engine supports all 256 raster operations (rops) supports transparent blts and page flipping for microsoft?s directdraw runs at core clock frequency full vga and vesa mode support special ?driver level? instructions utilize internal scratchpad for enhanced performance display controller display compression technology (dct) architecture greatly reduces memory bandwidth consumption of display refresh supports a separate video buffer and data path to enable video acceleration in the geode cs5530a companion device internal palette ram for gamma correction direct interface to geode cs5530a companion device for crt and tft support eliminates the need for an external ramdac hardware cursor supports up to 1280x1024x16 bpp xpressram? subsystem sdram interface tightly coupled to cpu core and graphics subsystem for maximum efficiency 64-bit wide memory bus support for: ? two 168-pin unbuffered dimms ? up to 16 simultaneously open banks ? 16-byte reads (burst length of two) ? up to 512 mb total memory supported diverse operating system support be beia linux microsoft ? windows ? 2000, 98, and 95; windows nt ? in non pc applications; windows ce and windows nte qnx software systems qnx windriver systems vxworks
14 amd geode? gx1 processor data book amd geode? gx1 processor revision 4.1
amd geode? gx1 processor data book 15 architecture overview revision 4.1 2.0 architecture overview the amd geode? gx1 processor series represents the sixth generation of x86-compat ible 32-bit processors with sixth-generation features. the decoupled load/store unit allows reordering of load/store traffic to achieve higher per- formance. other features in clude single-cycle execution, single-cycle instruction dec ode, 16 kb write-back cache, and clock rates up to 333 mhz. these features are made possible by the use of advanced-process technologies and pipelining. the gx1 processor has low power consumption at all clock frequencies. where additional power savings are required, designers can make use of suspend mode, stop clock capability, and system management mode (smm). the gx1 processor is divided into major functional blocks (as shown in figure 2-1):  integer unit  floating point unit (fpu)  write-back cache unit  memory management unit (mmu)  internal bus interface unit  integrated functions instructions are executed in the integer unit and in the float- ing point unit. the cache unit stores the most recently used data and instructions and provides fast access to this infor- mation for the integer and floating point units. figure 2-1. internal block diagram write-back unit fpu internal bus interface unit graphics memory display pci sdram port cs5530a pci bus integer cache unit integrated functions mmu (crt/lcd tft) x-bus pipeline controller controller controller c-bus
16 amd geode? gx1 processor data book architecture overview revision 4.1 2.1 integer unit the integer unit consists of:  instruction buffer  instruction fetch  instruction decoder and execution the pipelined integer unit fetches, decodes, and executes x86 instructions through the use of a five-stage integer pipeline. the instruction fetch pipeline stage generates, from the on- chip cache, a continuous high- speed instruction stream for use by the processor. up to 128 bits of code are read dur- ing a single clock cycle. branch prediction logic within the prefetch unit generates a predicted target address for unconditional or conditional branch instructions. when a br anch instruction is detected, the instruction fetch stage starts loading instructions at the predicted address within a single clock cycle. up to 48 bytes of code are queued prior to the instruction decode stage. the instruction decode stage evaluates the code stream provided by the instruction fetch stage and determines the number of bytes in each instruction and the instruction type. instructions are processed and decoded at a maxi- mum rate of one instruction per clock. the address calculation function is pipelined and contains two stages, ac1 and ac2. if the instruction refers to a memory operand, ac1 calculates a linear memory address for the instruction. the ac2 stage performs any required memory manage- ment functions, cache accesses, and register file accesses. if a floating point instruction is detected by ac2, the instruction is sent to the floating point unit for process- ing. the execution stage, under control of microcode, executes instructions using the operands provided by the address calculation stage. write-back , the last stage of the integer unit, updates the register file within the integer un it or writes to the load/store unit within the memory management unit. 2.2 floating point unit the floating point unit (fpu) interfaces to the integer unit and the cache unit through a 64-bit bus. the fpu is x87- instruction-set compatible and adheres to the ieee-754 standard. because almost all a pplications that contain fpu instructions also contain inte ger instructions, the gx1 pro- cessor?s fpu achieves high performance by completing integer and fpu operations in parallel. fpu instructions are dispatch ed to the pipeline within the integer unit. the address calculation stage of the pipeline checks for memory management exceptions and accesses memory operands for use by the fpu. once the instruc- tions and operands have been provided to the fpu, the fpu completes instruction ex ecution independently of the integer unit. 2.3 write-back cache unit the 16 kb write-back unified (data/instruction) cache is configured as four-way set associative. the cache stores up to 16 kb of code and data in 1024 cache lines. the gx1 processor provides the ability to allocate a portion of the l1 cache as a scratchpad, which is used to acceler- ate the virtual systems archit ecture technology algorithms as well as for some graphics operations. 2.4 memory management unit the memory management unit (mmu) translates the linear address supplied by the integer unit into a physical address to be used by the cache unit and the internal bus interface unit. memory management procedures are x86-compati- ble, adhering to standard paging mechanisms. the mmu also contains a load/store unit that is responsible for scheduling cache and external memory accesses. the load/store unit incorporates two performance-enhancing features:  load-store reordering that gives memory reads required by the integer unit a priority over writes to external memory.  memory-read bypassing that eliminates unnecessary memory reads by using valid data from the execution unit. 2.5 internal bus interface unit the internal bus interface unit provides a bridge from the gx1 processor to the integr ated system functions (i.e., memory subsystem, display co ntroller, graph ics pipeline) and the pci bus interface. when external memory access is required, the physical address is calculated by the memory management unit and then passed to the internal bus interface unit, which trans- lates the cycle to an x-bus cycl e (the x-bus is a proprietary internal bus which provides a common interface for all of the integrated functions). the x-bus memory cycle is arbi- trated between other pending x-bus memory requests to the sdram controller before completing. in addition, the internal bus in terface unit provides configu- ration control for up to 20 different regions within system memory with separate controls for read access, write access, cacheability, and pci access.
amd geode? gx1 processor data book 17 architecture overview revision 4.1 2.6 integrated functions the gx1 processor integrates the following functions tradi- tionally implemented using external devices:  high-performance 2d graphics accelerator  separate crt and tft control from the display controller  sdram memory controller  pci bridge the processor has also been enhanced to support vsa technology implementation. the gx1 processor implements a unified memory archi- tecture (uma). by using dct (display compression tech- nology) architecture, the pe rformance degradation inherent in traditional uma systems is eliminated. 2.6.1 graphics accelerator the graphics accelerator is a full-featured gui accelerator. the graphics pipeline implements a bitblt engine for frame buffer bitblts and rectangular fills. additional instructions in the integer unit may be processed, as the bitblt engine assists the cpu in the bitblt operations that take place between system me mory and the frame buffer. this combination of hardware and software is used by the display driver to provide very fast bidirectional transfers between system memory and the frame buffer. the bitblt engine also draws randomly oriented vectors, and scan- lines for polygon fill. all of the pipeline operations described in the following list can be applied to any bitblt operation.  pattern memory: render with 8x8 dither, 8x8 mono- chrome, or 8x1 color pattern.  color expansion: expand monochrome bitmaps to full depth 8- or 16-bit colors.  transparency: suppresses drawing of background pixels for transparent text.  raster operations: boolean operation combines source, destination, and pattern bitmaps. 2.6.2 display controller the display port is a direct interface to the geode cs5530a companion device which drives a tft flat panel display, lcd panel, or a crt display. the display controller (video generator) retrieves image data from the frame buffer, performs a color-look-up if required, inserts the cursor overlay into the pixel stream, generates display timing, and formats the pixel data for out- put to a variety of display de vices. the display controller contains dct architecture th at allows the gx1 processor to refresh the display from a compressed copy of the frame buffer. dct architecture ty pically decreases the screen refresh bandwidth requirement by a factor of 15 to 20, min- imizing bandwidth contention. 2.6.3 xpressram? memory subsystem the memory controller drives a 64-bit sdram port directly. the sdram memory array contains both the main system memory and the graphics frame buffer. up to four module banks of sdram are supported. each module bank can have two or four component banks depending on the mem- ory size and organization. the maximum configuration is four module banks with four component banks, each pro- viding a total of 16 open banks. the maximum memory size is 512 mb. the memory controller handles multiple requests for mem- ory data from the gx1 processor, the graphics accelerator and the display controller. the memory controller contains extensive buffering logic that helps minimize contention for memory bandwidth between graphics and cpu requests. the memory controller cooperates with the internal bus controller to determine the cacheability of all memory refer- ences. 2.6.4 pci controller the gx1 processor incorporates a full-function pci inter- face module that includes the pci arbiter. all accesses to external i/o devices are sent over the pci bus, although most memory accesses are serviced by the sdram con- troller. the internal bus interface unit contains address mapping logic that determines if memory accesses are tar- geted for the sdram or for the pci bus. the pci bus in a gx1 based system is 3.3 volt only. do not connect 5 volt devices on this bus.
18 amd geode? gx1 processor data book architecture overview revision 4.1 2.7 amd geode? gx1/cs5 530a system designs a gx1 processor and geode cs5530a companion device based design provides high performance using 32-bit x86 processing. the two chips integrate video, audio, and memory interface functions normally performed by external hardware. the cs5530a enables the full features of the gx1 processor with mmx suppor t. these features include full vga and vesa vide o, 16-bit stereo sound, ide inter- face, isa interface, smm power management, and ibm?s at compatibility logic. in addition, the cs5530a provides an ultra dma/33 interface, mpeg1 assist, and ac97 v2.0 compliant audio. figure 2-2 shows a basic block system diagram. figures 2- 3 and 2-4 show the signal connections between the gx1 processor and the cs5530a. figure 2-2. geode? gx1/cs5530a system block diagram yuv port (video) rgb port pci interface sdram md[63:0] 3.3v pci bus graphics data video data analog rgb digital rgb crt tft panel usb (2 ports) ac97 codec speakers cd rom audio micro- phone gpio port (graphics) super isa bus sdram serial packet clocks i/o bios ide devices 14.31818 mhz crystal ide control dc-dc & battery amd geode? cs5530a companion amd geode? gx1 processor device
amd geode? gx1 processor data book 19 architecture overview revision 4.1 figure 2-3. geode? gx1/cs5530a signal connections serialp irq13 smi# pclk crt_hsync crt_vsync pixel[17:0] fp_hsync fp_vsync ena_disp vid_val vid_clk vid_data[7:0] vid_rdy intr susp# suspa# ad[31:0] c/be[3:0]# pa r frame# irdy# trdy# stop# lock# devsel# perr# serr# req0# pserial irq13 smi# pclk hsync vsync pixel[23:0] fp_hsync fp_vsync ena_disp vid_val vid_clk vid_data[7:0] vid_rdy cpu_rst intr susp# suspa# ad[31:0] c/be[3:0]# pa r frame# irdy# trdy# stop# lock# devsel# perr# serr# req# gnt# gnt0# amd geode? amd geode? cs5530a exclusive interconnect signals (do not connect to any other device) nonexclusive interconnect signals (may also connect to other 3.3v circuitry) not needed if crt only (no tft) (note) note: refer to figure 2-4 for interconnection of the pixel lines. reset dclk dclk gx1 processor companion device
20 amd geode? gx1 processor data book architecture overview revision 4.1 figure 2-4. pixel signal connections pixel17 pixel16 pixel15 pixel14 pixel13 pixel12 pixel11 pixel10 pixel9 pixel8 pixel7 pixel6 pixel5 pixel4 pixel3 pixel2 pixel1 amd geode? cs5530a pixel0 pixel23 pixel22 pixel21 pixel20 pixel19 pixel18 pixel17 pixel16 pixel15 pixel14 pixel13 pixel12 pixel11 pixel10 pixel9 pixel8 pixel7 pixel6 pixel5 pixel4 pixel3 pixel2 pixel1 pixel0 r g b amd geode? gx1 processor companion device
amd geode? gx1 processor data book 21 signal definitions revision 4.1 3.0 signal definitions this section describes the external interface of the amd g eode? gx1 processor. figure 3-1 shows the signals organized by their functional interface groups (internal test and electrical pins are not shown). figure 3-1. functional block diagram sysclk clkmode[2:0] reset intr irq13 smi# susp# suspa# serialp ad[31:0] c/be[3:0]# pa r frame# irdy# trdy# stop# lock# devsel# perr# serr# req[2:0]# gnt[2:0]# md[63:0] ma[12:0] ba[1:0] rasa#, rasb# casa#, casb# cs[3:0]# wea#, web# dqm[7:0] ckea, ckeb sdclk[3:0] sdclk_in sdclk_out pclk vid_clk dclk crt_hsync crt_vsync fp_vsync fp_hsync ena_disp vid_rdy vid_val vid_data[7:0] pixel[17:0] memory controller interface video interface signals pci interface signals system interface signals signals gx1 processor amd geode?
22 amd geode? gx1 processor data book signal definitions revision 4.1 3.1 pin assignments the tables in this section use several common abbrevia- tions. table 3-1 lists the mnemonics and their meanings. figure 3-2 shows the pin assi gnment for the 352 bga with table 3-2 and table 3-3 listing the pin assignments sorted by pin number and alphabetically by signal name, respec- tively. figure 3-3 shows the pin assignment for the 320 spga with table 3-4 and table 3-5 listing the pin assignments sorted by pin number and alphabetically by signal name, respectively. in section 3.2 "signal descriptions" on page 33 a descrip- tion of each signal is provid ed within its associated func- tional group. table 3-1. pin type definitions mnemonic definition i standard input pin. i/o bidirectional pin. o totem-pole output. od open-drain output structure that allows multiple devices to share the pin in a wired-or configuration. pu pull-up resistor. pd pull-down resistor. s/t/s sustained tri-state, an active-low tri-state signal owned and driven by one and only one agent at a time. the agent that drives an s/t/s pin low must drive it high for at least one clock before letting it float. a new agent cannot start driving an s/t/s signal any sooner than one clock after the previous owner lets it float. a pull-up resistor on the motherboard is required to sustain the inactive state until another agent drives it. t/s tri-state signal. v cc (pwr) power pin. v ss (gnd) ground pin. # the "#" symbol at the end of a signal name indicates that the active, or asserted state occurs when the sig- nal is at a low voltage level. when "#" is not present after the signal name, the signal is asserted when at a high voltage level.
amd geode? gx1 processor data book 23 signal definitions revision 4.1 figure 3-2. 352 bga pin assignment diagram (for order information, refer to section a.1 "order information" on page 239.) 1234567891011121314151617181920 21 22 23 24 25 26 a b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af index corner v ss v ss ad27 ad24 ad21 ad16 v cc2 fram#devs# v cc3 perr# ad15 v ss ad11 cbe0# ad6 v cc2 ad4 ad2 v cc3 ad0 ad1 test2 md2 v ss v ss v ss v ss ad28 ad25 ad22 ad18 v cc2 cbe2# trdy# v cc3 lock# par ad14 ad12 ad9 ad7 v cc2 intr ad3 v cc3 test1 test3 md1 md33 v ss v ss ad29 ad31 ad30 ad26 ad23 ad19 v cc2 ad17 irdy# v cc3 stop# serr# cbe1# ad13 ad10 ad8 v cc2 ad5 smi# v cc3 test0 irq13 md32 md34 md3 md35 gnt0# tdi req2# v ss cbe3# vss v cc2 v ss v ss v cc3 v ss v ss v ss v ss v ss v ss v cc2 v ss v ss v cc3 v ss md0 v ss md4 md36 tdp gnt2#suspa#req0# ad20 md6 tdn md5 md37 td0 gnt1# test v ss v ss md38 md7 md39 v cc3 v cc3 v cc3 v cc3 v cc3 v cc3 v cc3 v cc3 tms susp# req1# v ss v ss md8md40md9 fpvsy tclk reset v ss v ss md41 md10 md42 v cc2 v cc2 v cc2 v cc2 v cc2 v cc2 v cc2 v cc2 ckm1 fphsyserlp v ss v ss md11 md43 md12 ckm2 vidval ckm0 v ss v ss md44 md13 md45 v ss pix1 pix0 v ss v ss md14 md46 md15 vidclk pix3 pix2 v ss v ss md47 casa#sysclk pix4 pix5 pix6 v ss v ss web# wea# casb# pix7 pix8 pix9 v ss v ss dqm0 dqm4 dqm1 v cc3 v cc3 v cc3 v cc3 v cc3 v cc3 v cc3 v cc3 pix10 pix11 pix12 v ss v ss dqm5 cs2# cs0# pix13crthsypix14 v ss v ss rasa# rasb# ma0 v cc2 v cc2 v cc2 v cc2 v cc2 v cc2 v cc2 v cc2 pix15 pix16crtvsy v ss v ss ma1 ma2 ma3 dclk pix17 vdat6 vdat7 ma4 ma5 ma6 ma7 pclk flt# vdat4 v ss nc v ss v cc2 v ss v ss v cc3 v ss v ss v ss v ss v ss v ss v cc2 v ss v ss v cc3 v ss dqm6 v ss ma8 ma9 ma10 vrdy vdat5 vdat3 vdat0 edisp md63 v cc2 md62 md29 v cc3 md59 md26 md56 md55 md22 ckeb v cc2 md51 md18 v cc3 md48 dqm3 cs1# ma11 ba0 ba1 v ss v ss vdat2 sclk3 sclk1rwclk v cc2 sckin md61 v cc3 md28 md58 md25 md24 md54 md21 v cc2 md20 md50 v cc3 md17 dqm7 cs3# ma12 v ss v ss v ss v ss vdat1 sclk0 sclk2 md31 v cc2 sckoutmd30 v cc3 md60 md27 md57 v ss md23 md53 v cc2 md52 md19 v cc3 md49 md16 dqm2 ckea v ss v ss 1234567891011121314151617181920 21 22 23 24 25 26 a b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af 352 bga - top view note: signal names have been abbreviated in this figure due to space constraints. = gnd terminal = pwr terminal (v cc2 = vcc_core; v cc3 = vcc_io) gx1 processor amd geode?
24 amd geode? gx1 processor data book signal definitions revision 4.1 table 3-2. 352 bga pin assignments - sorted by pin number pin no. signal name a1 v ss a2 v ss a3 ad27 a4 ad24 a5 ad21 a6 ad16 a7 v cc2 a8 frame# a9 devsel# a10 v cc3 a11 perr# a12 ad15 a13 v ss a14 ad11 a15 c/be0# a16 ad6 a17 v cc2 a18 ad4 a19 ad2 a20 v cc3 a21 ad0 a22 ad1 a23 test2 a24 md2 a25 v ss a26 v ss b1 v ss b2 v ss b3 ad28 b4 ad25 b5 ad22 b6 ad18 b7 v cc2 b8 c/be2# b9 trdy# b10 v cc3 b11 lock# b12 pa r b13 ad14 b14 ad12 b15 ad9 b16 ad7 b17 v cc2 b18 intr b19 ad3 b20 v cc3 b21 test1 b22 test3 b23 md1 b24 md33 b25 v ss b26 v ss c1 ad29 c2 ad31 c3 ad30 c4 ad26 c5 ad23 c6 ad19 c7 v cc2 c8 ad17 c9 irdy# c10 v cc3 c11 stop# c12 serr# c13 c/be1# c14 ad13 c15 ad10 c16 ad8 c17 v cc2 c18 ad5 c19 smi# c20 v cc3 c21 test0 c22 irq13 c23 md32 c24 md34 c25 md3 c26 md35 d1 gnt0# d2 tdi d3 req2# d4 v ss d5 c/be3# d6 vss d7 v cc2 d8 v ss d9 v ss d10 v cc3 d11 v ss d12 v ss d13 v ss d14 v ss d15 v ss d16 v ss d17 v cc2 d18 v ss pin no. signal name d19 v ss d20 v cc3 d21 v ss d22 md0 d23 v ss d24 md4 d25 md36 d26 tdp e1 gnt2# e2 suspa# e3 req0# e4 ad20 e23 md6 e24 tdn e25 md5 e26 md37 f1 tdo f2 gnt1# f3 test f4 v ss f23 v ss f24 md38 f25 md7 f26 md39 g1 v cc3 g2 v cc3 g3 v cc3 g4 v cc3 g23 v cc3 g24 v cc3 g25 v cc3 g26 v cc3 h1 tms h2 susp# h3 req1# h4 v ss h23 v ss h24 md8 h25 md40 h26 md9 j1 fp_vsync j2 tclk j3 reset j4 v ss j23 v ss j24 md41 j25 md10 j26 md42 pin no. signal name k1 v cc2 k2 v cc2 k3 v cc2 k4 v cc2 k23 v cc2 k24 v cc2 k25 v cc2 k26 v cc2 l1 clkmode1 l2 fp_hsync l3 serialp l4 v ss l23 v ss l24 md11 l25 md43 l26 md12 m1 clkmode2 m2 vid_val m3 clkmode0 m4 v ss m23 v ss m24 md44 m25 md13 m26 md45 n1 v ss n2 pixel1 n3 pixel0 n4 v ss n23 v ss n24 md14 n25 md46 n26 md15 p1 vid_clk p2 pixel3 p3 pixel2 p4 v ss p23 v ss p24 md47 p25 casa# p26 sysclk r1 pixel4 r2 pixel5 r3 pixel6 r4 v ss r23 v ss r24 web# r25 wea# r26 casb# pin no. signal name t1 pixel7 t2 pixel8 t3 pixel9 t4 v ss t23 v ss t24 dqm0 t25 dqm4 t26 dqm1 u1 v cc3 u2 v cc3 u3 v cc3 u4 v cc3 u23 v cc3 u24 v cc3 u25 v cc3 u26 v cc3 v1 pixel10 v2 pixel11 v3 pixel12 v4 v ss v23 v ss v24 dqm5 v25 cs2# v26 cs0# w1 pixel13 w2 crt_hsync w3 pixel14 w4 v ss w23 v ss w24 rasa# w25 rasb# w26 ma0 y1 v cc2 y2 v cc2 y3 v cc2 y4 v cc2 y23 v cc2 y24 v cc2 y25 v cc2 y26 v cc2 aa1 pixel15 aa2 pixel16 aa3 crt_vsync aa4 v ss aa23 v ss aa24 ma1 aa25 ma2 aa26 ma3 pin no. signal name
amd geode? gx1 processor data book 25 signal definitions revision 4.1 ab1 dclk ab2 pixel17 ab3 vid_data6 ab4 vid_data7 ab23 ma4 ab24 ma5 ab25 ma6 ab26 ma7 ac1 pclk ac2 flt# ac3 vid_data4 ac4 v ss ac5 nc ac6 v ss ac7 v cc2 ac8 v ss ac9 v ss ac10 v cc3 ac11 v ss ac12 v ss ac13 v ss ac14 v ss ac15 v ss pin no. signal name ac16 v ss ac17 v cc2 ac18 v ss ac19 v ss ac20 v cc3 ac21 v ss ac22 dqm6 ac23 v ss ac24 ma8 ac25 ma9 ac26 ma10 ad1 vid_rdy ad2 vid_data5 ad3 vid_data3 ad4 vid_data0 ad5 ena_disp ad6 md63 ad7 v cc2 ad8 md62 ad9 md29 ad10 v cc3 ad11 md59 ad12 md26 pin no. signal name ad13 md56 ad14 md55 ad15 md22 ad16 ckeb ad17 v cc2 ad18 md51 ad19 md18 ad20 v cc3 ad21 md48 ad22 dqm3 ad23 cs1# ad24 ma11 ad25 ba0 ad26 ba1 ae1 v ss ae2 v ss ae3 vid_data2 ae4 sdclk3 ae5 sdclk1 ae6 rw_clk ae7 v cc2 ae8 sdclk_in ae9 md61 pin no. signal name ae10 v cc3 ae11 md28 ae12 md58 ae13 md25 ae14 md24 ae15 md54 ae16 md21 ae17 v cc2 ae18 md20 ae19 md50 ae20 v cc3 ae21 md17 ae22 dqm7 ae23 cs3# ae24 ma12 ae25 v ss ae26 v ss af1 v ss af2 v ss af3 vid_data1 af4 sdclk0 af5 sdclk2 af6 md31 pin no. signal name af7 v cc2 af8 sdclk_out af9 md30 af10 v cc3 af11 md60 af12 md27 af13 md57 af14 v ss af15 md23 af16 md53 af17 v cc2 af18 md52 af19 md19 af20 v cc3 af21 md49 af22 md16 af23 dqm2 af24 ckea af25 v ss af26 v ss pin no. signal name table 3-2. 352 bga pin assignments - sorted by pin number (continued)
26 amd geode? gx1 processor data book signal definitions revision 4.1 table 3-3. 352 bga pin assignments - sorted alphabetically by signal name signal name type pin no. 1 ad0 i/o a21 ad1 i/o a22 ad2 i/o a19 ad3 i/o b19 ad4 i/o a18 ad5 i/o c18 ad6 i/o a16 ad7 i/o b16 ad8 i/o c16 ad9 i/o b15 ad10 i/o c15 ad11 i/o a14 ad12 i/o b14 ad13 i/o c14 ad14 i/o b13 ad15 i/o a12 ad16 i/o a6 ad17 i/o c8 ad18 i/o b6 ad19 i/o c6 ad20 i/o e4 ad21 i/o a5 ad22 i/o b5 ad23 i/o c5 ad24 i/o a4 ad25 i/o b4 ad26 i/o c4 ad27 i/o a3 ad28 i/o b3 ad29 i/o c1 ad30 i/o c3 ad31 i/o c2 ba0 o ad25 ba1 o ad26 casa# o p25 casb# o r26 c/be0# i/o a15 c/be1# i/o c13 c/be2# i/o b8 c/be3# i/o d5 ckea o af24 ckeb o ad16 clkmode0 i m3 clkmode1 i l1 clkmode2 i m1 crt_hsync o w2 crt_vsync o aa3 cs0# o v26 cs1# o ad23 cs2# o v25 cs3# o ae23 dclk i ab1 devsel# s/t/s a9 (pu) dqm0 o t24 dqm1 o t26 dqm2 o af23 dqm3 o ad22 dqm4 o t25 dqm5 o v24 dqm6 o ac22 dqm7 o ae22 ena_disp o ad5 flt# i ac2 fp_hsync o l2 fp_vsync o j1 frame# s/t/s a8 (pu) gnt0# o d1 gnt1# o f2 gnt2# o e1 intr i b18 irdy# s/t/s c9 (pu) irq13 o c22 lock# s/t/s b11 (pu) ma0 o w26 ma1 o aa24 ma2 o aa25 ma3 o aa26 ma4 o ab23 ma5 o ab24 ma6 o ab25 ma7 o ab26 ma8 o ac24 ma9 o ac25 ma10 o ac26 ma11 o ad24 ma12 o ae24 md0 i/o d22 md1 i/o b23 md2 i/o a24 md3 i/o c25 md4 i/o d24 md5 i/o e25 md6 i/o e23 md7 i/o f25 md8 i/o h24 md9 i/o h26 md10 i/o j25 md11 i/o l24 md12 i/o l26 md13 i/o m25 md14 i/o n24 md15 i/o n26 md16 i/o af22 md17 i/o ae21 md18 i/o ad19 md19 i/o af19 signal name type pin no. 1 md20 i/o ae18 md21 i/o ae16 md22 i/o ad15 md23 i/o af15 md24 i/o ae14 md25 i/o ae13 md26 i/o ad12 md27 i/o af12 md28 i/o ae11 md29 i/o ad9 md30 i/o af9 md31 i/o af6 md32 i/o c23 md33 i/o b24 md34 i/o c24 md35 i/o c26 md36 i/o d25 md37 i/o e26 md38 i/o f24 md39 i/o f26 md40 i/o h25 md41 i/o j24 md42 i/o j26 md43 i/o l25 md44 i/o m24 md45 i/o m26 md46 i/o n25 md47 i/o p24 md48 i/o ad21 md49 i/o af21 md50 i/o ae19 md51 i/o ad18 md52 i/o af18 md53 i/o af16 md54 i/o ae15 md55 i/o ad14 md56 i/o ad13 md57 i/o af13 md58 i/o ae12 md59 i/o ad11 md60 i/o af11 md61 i/o ae9 md62 i/o ad8 md63 i/o ad6 nc -- ac5 par i/o b12 pclk o ac1 perr# s/t/s a11 (pu) pixel0 o n3 pixel1 o n2 pixel2 o p3 pixel3 o p2 pixel4 o r1 signal name type pin no. 1 pixel5 o r2 pixel6 o r3 pixel7 o t1 pixel8 o t2 pixel9 o t3 pixel10 o v1 pixel11 o v2 pixel12 o v3 pixel13 o w1 pixel14 o w3 pixel15 o aa1 pixel16 o aa2 pixel17 o ab2 rasa# o w24 rasb# o w25 req0# i e3 (pu) req1# i h3 (pu) req2# i d3 (pu) reset i j3 rw_clk o ae6 sdclk_in i ae8 sdclk_out o af8 sdclk0 o af4 sdclk1 o ae5 sdclk2 o af5 sdclk3 o ae4 serialp o l3 serr# od c12 (pu) smi# i c19 stop# s/t/s c11 (pu) susp# i h2 (pu) suspa# o e2 sysclk i p26 tclk i j2 (pu) tdi i d2 (pu) tdn o e24 tdo o f1 tdp o d26 test i f3 (pd) test0 o c21 test1 o b21 test2 o a23 test3 o b22 tms i h1 (pu) trdy# s/t/s b9 (pu) v cc2 pwr a7 v cc2 pwr a17 v cc2 pwr b7 v cc2 pwr b17 v cc2 pwr c7 v cc2 pwr c17 v cc2 pwr d7 v cc2 pwr d17 signal name type pin no. 1
amd geode? gx1 processor data book 27 signal definitions revision 4.1 v cc2 pwr k1 v cc2 pwr k2 v cc2 pwr k3 v cc2 pwr k4 v cc2 pwr k23 v cc2 pwr k24 v cc2 pwr k25 v cc2 pwr k26 v cc2 pwr y1 v cc2 pwr y2 v cc2 pwr y3 v cc2 pwr y4 v cc2 pwr y23 v cc2 pwr y24 v cc2 pwr y25 v cc2 pwr y26 v cc2 pwr ac7 v cc2 pwr ac17 v cc2 pwr ad7 v cc2 pwr ad17 v cc2 pwr ae7 v cc2 pwr ae17 v cc2 pwr af7 v cc2 pwr af17 v cc3 pwr a10 v cc3 pwr a20 v cc3 pwr b10 v cc3 pwr b20 v cc3 pwr c10 v cc3 pwr c20 v cc3 pwr d10 v cc3 pwr d20 v cc3 pwr g1 v cc3 pwr g2 v cc3 pwr g3 v cc3 pwr g4 v cc3 pwr g23 v cc3 pwr g24 signal name type pin no. 1 v cc3 pwr g25 v cc3 pwr g26 v cc3 pwr u1 v cc3 pwr u2 v cc3 pwr u3 v cc3 pwr u4 v cc3 pwr u23 v cc3 pwr u24 v cc3 pwr u25 v cc3 pwr u26 v cc3 pwr ac10 v cc3 pwr ac20 v cc3 pwr ad10 v cc3 pwr ad20 v cc3 pwr ae10 v cc3 pwr ae20 v cc3 pwr af10 v cc3 pwr af20 vid_clk o p1 vid_data0 o ad4 vid_data1 o af3 vid_data2 o ae3 vid_data3 o ad3 vid_data4 o ac3 vid_data5 o ad2 vid_data6 o ab3 vid_data7 o ab4 vid_rdy i ad1 vid_val o m2 v ss gnd a1 v ss gnd a2 v ss gnd a13 v ss gnd a25 v ss gnd a26 v ss gnd b1 v ss gnd b2 v ss gnd b25 signal name type pin no. 1 v ss gnd b26 v ss gnd d4 v ss gnd d6 v ss gnd d8 v ss gnd d9 v ss gnd d11 v ss gnd d12 v ss gnd d13 v ss gnd d14 v ss gnd d15 v ss gnd d16 v ss gnd d18 v ss gnd d19 v ss gnd d21 v ss gnd d23 v ss gnd f4 v ss gnd f23 v ss gnd h4 v ss gnd h23 v ss gnd j4 v ss gnd j23 v ss gnd l4 v ss gnd l23 v ss gnd m4 v ss gnd m23 v ss gnd n1 v ss gnd n4 v ss gnd n23 v ss gnd p4 v ss gnd p23 v ss gnd r4 v ss gnd r23 v ss gnd t4 v ss gnd t23 v ss gnd v4 signal name type pin no. 1 v ss gnd v23 v ss gnd w4 v ss gnd w23 v ss gnd aa4 v ss gnd aa23 v ss gnd ac4 v ss gnd ac6 v ss gnd ac8 v ss gnd ac9 v ss gnd ac11 v ss gnd ac12 v ss gnd ac13 v ss gnd ac14 v ss gnd ac15 v ss gnd ac16 v ss gnd ac18 v ss gnd ac19 v ss gnd ac21 v ss gnd ac23 v ss gnd ae1 v ss gnd ae2 v ss gnd ae25 v ss gnd ae26 v ss gnd af1 v ss gnd af2 v ss gnd af14 v ss gnd af25 v ss gnd af26 wea# o r25 web# o r24 1. pu/pd indicates pin is inter- nally connected to a weak (> 20-kohm) pull-up/-down resistor. signal name type pin no. 1 table 3-3. 352 bga pin assignments - sorted alphabetically by signal name (continued)
28 amd geode? gx1 processor data book signal definitions revision 4.1 figure 3-3. 320 spga pin assignment diagram (for order information, refer to section a.1 "order information" on page 239.) 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 a b c d e f g h j k l m n p q r s t u v aa ab ac ad ae af index corner 27 28 29 30 31 32 33 34 35 36 37 ag ah aj ak al am w y x z an a b c d e f g h j k l m n p q r s t u v aa ab ac ad ae af ag ah aj ak al am w y x z an 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 v cc3 ad25 v ss v cc2 ad16 v cc3 stop# serr# v ss ad11 ad8 v cc3 ad2 v cc2 v ss test0 v cc3 v ss v ss ad27 cbe3# ad21 ad19 cbe2# trdy# lock# cbe1# ad13 ad9 ad6 ad3 smi# ad1 test2 md33 md2 v cc3 ad31 ad26 ad23 v cc2 ad18 frame# v ss pa r v cc3 ad10 v ss ad4 ad0 v cc2 irq13 md1 md34 v cc3 ad30 ad29 ad24 ad22 ad20 ad17 irdy# perr# ad14 ad12 ad7 intr test1 test3 md0 md32 md3 md35 req0# req2# ad28 v ss v cc2 v cc2 v ss devsel# ad15 v ss cbe0# ad5 v ss v cc2 v cc2 v ss md4 md36 tdn gnt0# tdi md5 tdp v ss ckmd2 v ss v ss md37 v ss gnt2# suspa# tdo v ss test req1# gnt1# v cc2 v cc2 v cc2 reset susp# v cc3 tms v ss fpvsync tclk serialp v ss nc ckmd1 fphsync ckmd0 vid_val pix0 pix1 pix2 v ss v cc3 v ss pix3 vid_clk pix6 pix5 pix4 nc pix9 pix8 v ss pix7 nc pix10 v cc3 pix11 v ss pix12 pix13 v cc2 v cc2 v cc2 crthsync dclk pix14 v ss v cc2 pix15 pix16 v ss pix17 v ss crtvsync vdat6 md6 md38 v cc2 v ss md7 md39 md8 v cc2 v cc2 v cc2 md40 md9 v ss md41 v cc3 md10 md42 md11 v ss md43 md44 md12 md14 md13 md45 md15 md46 v ss v cc3 v ss sysclk md47 wea# web# casa# dqm0 casb# dqm1 v ss dqm4 cs2# dqm5 v ss cs0# v cc3 rasb# rasa# v cc2 v cc2 v cc2 v cc2 v ss ma1 ma2 ma0 ma4 ma3 v ss ma5 v ss ma8 ma6 ma10 pclk flt# vdat5 v ss v cc2 md31 v ss md60 md57 v ss md22 md52 v ss v cc2 v cc2 v ss ba1 ma9 ma7 vrdy v ss vdat0 sdclk0 sdclk2 sdclkin md29 md27 md56 md55 md21 md20 md50 md16 dqm3 cs3# v ss ba0 v cc2 vdat4 vdat2 sdclk1 v cc2 rwclk sdclkout v ss md58 v cc3 md23 v ss md19 md49 v cc2 dqm6 ckea ma11 v cc3 vdat7 vdat3 endis sdclk3 md63 md30 md61 md59 md25 md24 md53 md51 md18 md48 dqm7 dqm2 ma12 nc v ss v cc2 vdat1 v ss v cc2 md62 v cc3 md28 md26 v ss md54 ckeb v cc3 md17 v cc2 v ss cs1# v cc3 v ss note: signal names have been abbreviated in this figure due to space constraints. = denotes gnd terminal = denotes pwr terminal (vcc2 = vcc_core; vcc3 = vcc_io) 320 spga - top view gx1 processor amd geode?
amd geode? gx1 processor data book 29 signal definitions revision 4.1 table 3-4. 320 spga pin assignments - sorted by pin number pin no. signal name a3 v cc3 a5 ad25 a7 v ss a9 v cc2 a11 ad16 a13 v cc3 a15 stop# a17 serr# a19 v ss a21 ad11 a23 ad8 a25 v cc3 a27 ad2 a29 v cc2 a31 v ss a33 test0 a35 v cc3 a37 v ss b2 v ss b4 ad27 b6 c/be3# b8 ad21 b10 ad19 b12 c/be2# b14 trdy# b16 lock# b18 c/be1# b20 ad13 b22 ad9 b24 ad6 b26 ad3 b28 smi# b30 ad1 b32 test2 b34 md33 b36 md2 c1 v cc3 c3 ad31 c5 ad26 c7 ad23 c9 v cc2 c11 ad18 c13 frame# c15 v ss c17 pa r c19 v cc3 c21 ad10 c23 v ss c25 ad4 c27 ad0 c29 v cc2 c31 irq13 c33 md1 c35 md34 c37 v cc3 d2 ad30 d4 ad29 d6 ad24 d8 ad22 d10 ad20 d12 ad17 d14 irdy# d16 perr# d18 ad14 d20 ad12 d22 ad7 d24 intr d26 test1 d28 test3 d30 md0 d32 md32 d34 md3 d36 md35 e1 req0# e3 req2# e5 ad28 e7 v ss e9 v cc2 e11 v cc2 e13 v ss e15 devsel# e17 ad15 e19 v ss e21 c/be0# e23 ad5 e25 v ss e27 v cc2 e29 v cc2 e31 v ss e33 md4 e35 md36 e37 tdn f2 gnt0# f4 tdi f34 md5 f36 tdp pin no. signal name g1 v ss g3 clkmode2 g5 v ss g33 v ss g35 md37 g37 v ss h2 gnt2# h4 suspa# h34 md6 h36 md38 j1 tdo j3 v ss j5 test j33 v cc2 j35 v ss j37 md7 k2 req1# k4 gnt1# k34 md39 k36 md8 l1 v cc2 l3 v cc2 l5 v cc2 l33 v cc2 l35 v cc2 l37 v cc2 m2 reset m4 susp# m34 md40 m36 md9 n1 v cc3 n3 tms n5 v ss n33 v ss n35 md41 n37 v cc3 p2 fp_vsync p4 tclk p34 md10 p36 md42 q1 serialp q3 v ss q5 nc q33 md11 q35 v ss q37 md43 r2 clkmode1 r4 fp_hsync pin no. signal name r34 md44 r36 md12 s1 clkmode0 s3 vid_val s5 pixel0 s33 md14 s35 md13 s37 md45 t2 pixel1 t4 pixel2 t34 md15 t36 md46 u1 v ss u3 v cc3 u5 v ss u33 v ss u35 v cc3 u37 v ss v2 pixel3 v4 vid_clk v34 sysclk v36 md47 w1 pixel6 w3 pixel5 w5 pixel4 w33 wea# w35 web# w37 casa# x2 nc x4 pixel9 x34 dqm0 x36 casb# y1 pixel8 y3 v ss y5 pixel7 y33 dqm1 y35 v ss y37 dqm4 z2 nc z4 pixel10 z34 cs2# z36 dqm5 aa1 v cc3 aa3 pixel11 aa5 v ss aa33 v ss aa35 cs0# aa37 v cc3 pin no. signal name ab2 pixel12 ab4 pixel13 ab34 rasb# ab36 rasa# ac1 v cc2 ac3 v cc2 ac5 v cc2 ac33 v cc2 ac35 v cc2 ac37 v cc2 ad2 crt_hsync ad4 dclk ad34 ma2 ad36 ma0 ae1 pixel14 ae3 v ss ae5 v cc2 ae33 v cc2 ae35 v ss ae37 ma1 af2 pixel15 af4 pixel16 af34 ma4 af36 ma3 ag1 v ss ag3 pixel17 ag5 v ss ag33 v ss ag35 ma5 ag37 v ss ah2 crt_vsync ah4 vid_data6 ah32 ma10 ah34 ma8 ah36 ma6 aj1 pclk aj3 flt# aj5 vid_data5 aj7 v ss aj9 v cc2 aj11 md31 aj13 v ss aj15 md60 aj17 md57 aj19 v ss aj21 md22 aj23 md52 aj25 v ss pin no. signal name
30 amd geode? gx1 processor data book signal definitions revision 4.1 aj27 v cc2 aj29 v cc2 aj31 v ss aj33 ba1 aj35 ma9 aj37 ma7 ak2 vid_rdy ak4 v ss ak6 vid_data0 ak8 sdclk0 ak10 sdclk2 ak12 sdclk_in ak14 md29 ak16 md27 ak18 md56 ak20 md55 ak22 md21 pin no. signal name ak24 md20 ak26 md50 ak28 md16 ak30 dqm3 ak32 cs3# ak34 v ss ak36 ba0 al1 v cc2 al3 vid_data4 al5 vid_data2 al7 sdclk1 al9 v cc2 al11 rw_clk al13 sdclk_out al15 v ss al17 md58 al19 v cc3 pin no. signal name al21 md23 al23 v ss al25 md19 al27 md49 al29 v cc2 al31 dqm6 al33 ckea al35 ma11 al37 v cc3 am2 vid_data7 am4 vid_data3 am6 ena_disp am8 sdclk3 am10 md63 am12 md30 am14 md61 am16 md59 pin no. signal name am18 md25 am20 md24 am22 md53 am24 md51 am26 md18 am28 md48 am30 dqm7 am32 dqm2 am34 ma12 am36 nc an1 v ss an3 v cc2 an5 vid_data1 an7 v ss an9 v cc2 an11 md62 an13 v cc3 pin no. signal name an15 md28 an17 md26 an19 v ss an21 md54 an23 ckeb an25 v cc3 an27 md17 an29 v cc2 an31 v ss an33 cs1# an35 v cc3 an37 v ss pin no. signal name table 3-4. 320 spga pin assignments - sorted by pin number (continued)
amd geode? gx1 processor data book 31 signal definitions revision 4.1 table 3-5. 320 spga pin assignments - sorted alphabetically by signal name signal name type pin. no. 1 ad0 i/o c27 ad1 i/o b30 ad2 i/o a27 ad3 i/o b26 ad4 i/o c25 ad5 i/o e23 ad6 i/o b24 ad7 i/o d22 ad8 i/o a23 ad9 i/o b22 ad10 i/o c21 ad11 i/o a21 ad12 i/o d20 ad13 i/o b20 ad14 i/o d18 ad15 i/o e17 ad16 i/o a11 ad17 i/o d12 ad18 i/o c11 ad19 i/o b10 ad20 i/o d10 ad21 i/o b8 ad22 i/o d8 ad23 i/o c7 ad24 i/o d6 ad25 i/o a5 ad26 i/o c5 ad27 i/o b4 ad28 i/o e5 ad29 i/o d4 ad30 i/o d2 ad31 i/o c3 ba0 o ak36 ba1 o aj33 casa# o w37 casb# o x36 c/be0# i/o e21 c/be1# i/o b18 c/be2# i/o b12 c/be3# i/o b6 ckea o al33 ckeb o an23 clkmode0 i s1 clkmode1 i r2 clkmode2 i g3 crt_hsync o ad2 crt_vsync o ah2 cs0# o aa35 cs1# o an33 cs2# o z34 cs3# o ak32 dclk i ad4 devsel# s/t/s e15 (pu) dqm0 o x34 dqm1 o y33 dqm2 o am32 dqm3 o ak30 dqm4 o y37 dqm5 o z36 dqm6 o al31 dqm7 o am30 ena_disp o am6 flt# i aj3 fp_hsync o r4 fp_vsync o p2 frame# s/t/s c13 (pu) gnt0# o f2 gnt1# o k4 gnt2# o h2 intr i d24 irdy# s/t/s d14 (pu) irq13 o c31 lock# s/t/s b16 (pu) ma0 o ad36 ma1 o ae37 ma2 o ad34 ma3 o af36 ma4 o af34 ma5 o ag35 ma6 o ah36 ma7 o aj37 ma8 o ah34 ma9 o aj35 ma10 o ah32 ma11 o al35 ma12 o am34 md0 i/o d30 md1 i/o c33 md2 i/o b36 md3 i/o d34 md4 i/o e33 md5 i/o f34 md6 i/o h34 md7 i/o j37 md8 i/o k36 md9 i/o m36 md10 i/o p34 md11 i/o q33 md12 i/o r36 md13 i/o s35 md14 i/o s33 md15 i/o t34 md16 i/o ak28 md17 i/o an27 md18 i/o am26 md19 i/o al25 signal name type pin. no. 1 md20 i/o ak24 md21 i/o ak22 md22 i/o aj21 md23 i/o al21 md24 i/o am20 md25 i/o am18 md26 i/o an17 md27 i/o ak16 md28 i/o an15 md29 i/o ak14 md30 i/o am12 md31 i/o aj11 md32 i/o d32 md33 i/o b34 md34 i/o c35 md35 i/o d36 md36 i/o e35 md37 i/o g35 md38 i/o h36 md39 i/o k34 md40 i/o m34 md41 i/o n35 md42 i/o p36 md43 i/o q37 md44 i/o r34 md45 i/o s37 md46 i/o t36 md47 i/o v36 md48 i/o am28 md49 i/o al27 md50 i/o ak26 md51 i/o am24 md52 i/o aj23 md53 i/o am22 md54 i/o an21 md55 i/o ak20 md56 i/o ak18 md57 i/o aj17 md58 i/o al17 md59 i/o am16 md60 i/o aj15 md61 i/o am14 md62 i/o an11 md63 i/o am10 nc -- e37 nc -- f36 nc -- q5 nc -- x2 nc -- z2 nc -- am36 par i/o c17 pclk o aj1 perr# s/t/s d16 (pu) signal name type pin. no. 1 pixel0 o s5 pixel1 o t2 pixel2 o t4 pixel3 o v2 pixel4 o w5 pixel5 o w3 pixel6 o w1 pixel7 o y5 pixel8 o y1 pixel9 o x4 pixel10 o z4 pixel11 o aa3 pixel12 o ab2 pixel13 o ab4 pixel14 o ae1 pixel15 o af2 pixel16 o af4 pixel17 o ag3 rasa# o ab36 rasb# o ab34 req0# i e1 (pu) req1# i k2 (pu) req2# i e3 (pu) reset i m2 rw_clk o al11 sdclk_in i ak12 sdclk_out o al13 sdclk0 o ak8 sdclk1 o al7 sdclk2 o ak10 sdclk3 o am8 serialp o q1 serr# od a17 (pu) smi# i b28 stop# s/t/s a15 (pu) susp# i m4 (pu) suspa# o h4 sysclk i v34 tclk i p4 (pu) tdi i f4 (pu) tdn o e37 tdo o j1 tdp o f36 test i j5 (pd) test0 o a33 test1 o d26 test2 o b32 test3 o d28 tdn o e37 tdp o f36 tms i n3 (pu) trdy# s/t/s b14 (pu) v cc2 pwr a9 signal name type pin. no. 1
32 amd geode? gx1 processor data book signal definitions revision 4.1 v cc2 pwr a29 v cc2 pwr c9 v cc2 pwr c29 v cc2 pwr e9 v cc2 pwr e11 v cc2 pwr e27 v cc2 pwr e29 v cc2 pwr j33 v cc2 pwr l1 v cc2 pwr l3 v cc2 pwr l5 v cc2 pwr l33 v cc2 pwr l35 v cc2 pwr l37 v cc2 pwr ac1 v cc2 pwr ac3 v cc2 pwr ac5 v cc2 pwr ac33 v cc2 pwr ac35 v cc2 pwr ac37 v cc2 pwr ae5 v cc2 pwr ae33 v cc2 pwr aj9 v cc2 pwr aj27 v cc2 pwr aj29 v cc2 pwr al1 v cc2 pwr al9 v cc2 pwr al29 v cc2 pwr an3 signal name type pin. no. 1 v cc2 pwr an9 v cc2 pwr an29 v cc3 pwr a3 v cc3 pwr a13 v cc3 pwr a25 v cc3 pwr a35 v cc3 pwr c1 v cc3 pwr c19 v cc3 pwr c37 v cc3 pwr n1 v cc3 pwr n37 v cc3 pwr u3 v cc3 pwr u35 v cc3 pwr aa1 v cc3 pwr aa37 v cc3 pwr al19 v cc3 pwr al37 v cc3 pwr an13 v cc3 pwr an25 v cc3 pwr an35 vid_clk o v4 vid_data0 o ak6 vid_data1 o an5 vid_data2 o al5 vid_data3 o am4 vid_data4 o al3 vid_data5 o aj5 vid_data6 o ah4 vid_data7 o am2 signal name type pin. no. 1 vid_rdy i ak2 vid_val o s3 v ss gnd a7 v ss gnd a19 v ss gnd a31 v ss gnd a37 v ss gnd b2 v ss gnd c15 v ss gnd c23 v ss gnd e7 v ss gnd e13 v ss gnd e19 v ss gnd e25 v ss gnd e31 v ss gnd g1 v ss gnd g5 v ss gnd g33 v ss gnd g37 v ss gnd j3 v ss gnd j35 v ss gnd n5 v ss gnd n33 v ss gnd q3 v ss gnd q35 v ss gnd u1 v ss gnd u5 v ss gnd u33 v ss gnd u37 v ss gnd y3 signal name type pin. no. 1 v ss gnd y35 v ss gnd aa5 v ss gnd aa33 v ss gnd ae3 v ss gnd ae35 v ss gnd ag1 v ss gnd ag5 v ss gnd ag33 v ss gnd ag37 v ss gnd aj7 v ss gnd aj13 v ss gnd aj19 v ss gnd aj25 v ss gnd aj31 v ss gnd ak4 v ss gnd ak34 v ss gnd al15 v ss gnd al23 v ss gnd an1 v ss gnd an7 v ss gnd an19 v ss gnd an31 v ss gnd an37 wea# o w33 web# o w35 1. pu/pd indicates pin is inter- nally connected to a weak (> 20-kohm) pull-up/down re- sistor. signal name type pin. no. 1 table 3-5. 320 spga pin assignments - sorted alphabetically by sign al name (continued)
amd geode? gx1 processor data book 33 signal definitions revision 4.1 3.2 signal descriptions 3.2.1 system interface signals signal name bga pin no. spga pin no. type description sysclk p26 v34 i system clock pci clock is connected to sysclk. the internal clock of the gx1 processor is generated by a proprietary patented fre- quency synthesis circuit which multiplies the sysclk input up to ten times. the sysclk to core clock multip lier is co nfigured using the clkmode[2:0] inputs. the sysclk input is a fixed frequency which can only be stopped or varied when the gx1 processor is in full 3v sus- pend. (see section 6.1.4 "3 volt suspend" on page 174 for details regarding this mode.) clkmode[2:0] m1, l1, m3 g3, r2, s1 i clock mode these signals are used to set the core clock multiplier. the pci clock ?sysclk? is multiplied by the value set by clk- mode[2:0] to generate the gx1 processor?s core clock. clkmode[2:0]: 000 = sysclk multiplied by 4 (test mode only) 001 = sysclk multiplied by 10 010 = sysclk multiplied by 9 011 = sysclk multiplied by 5 100 = sysclk multiplied by 4 101 = sysclk multiplied by 6 110 = sysclk multiplied by 7 111 = sysclk multiplied by 8 reset j3 m2 i reset reset aborts all operations in progress and places the gx1 processor into a reset state. reset forces the cpu and peripheral functions to begin executing at a known state. all data in the on-chip cache is invalidated upon reset. reset is an asynchronous in put but must meet specified setup and hold times to guarantee recognition at a particular clock edge. this input is typically generated during the power- on-reset sequence. intr b18 d24 i (maskable) interrupt request intr is a level-sensitive input that causes the gx1 processor to suspend execution of the current instruction stream and begin execution of an interrupt service routine. the intr input can be masked through the eflags regist er if bit. (see table 4-4 on page 49 for bit definitions.) irq13 c22 c31 o interrupt request level 13 irq13 is asserted if an on-chip floating point error occurs. when a floating point error occurs, the gx1 processor asserts the irq13 pin. the floating point interrupt handler then per- forms an out instruction to i/o address f0h or f1h. the gx1 processor accepts either of these cycles and clears the irq13 pin. refer to section 4.4.1 "i/o address space" on page 66 for fur- ther information on in/out instructions.
34 amd geode? gx1 processor data book signal definitions revision 4.1 smi# c19 b28 i system management interrupt smi# is a level-sensitive interrupt. smi# puts the gx1 proces- sor into system management mode (smm). susp# h2 (pu) m4 (pu) i suspend request this signal is used to reques t that the gx1 processor enter suspend mode. after recognition of an active susp# input, the processor completes execution of the current instruction, any pending decoded instructions and associated bus cycles. susp# is enabled by setting the use_susp bit in ccr2 (index c2h[7]), and is ignored following reset. (see table 4- 11 on page 55 for bit definition.) since the gx1 processor includ es system logic functions as well as the cpu core, there are special modes designed to sup- port the different power manageme nt states associated with apm, acpi, and portable designs. the part can be configured to stop only the cpu core clocks , or all clocks. when all clocks are stopped, the external clock can also be stopped. (see sec- tion 6.0 "power management" on page 173 for more details regarding power management states.) this pin is internally connected to a weak (>20-kohm) pull-up resistor. suspa# e2 h4 o suspend acknowledge suspend acknowledge indicates that the gx1 processor has entered low-power suspend mode as a result of susp# asser- tion or execution of a halt instruction. (the gx1 enters sus- pend mode following execution of a halt instruction if the susp_hlt bit in ccr2, index c2h[3], is set.) suspa# floats following reset and is enabled by setting the use_susp bit in ccr2 (index c2h[7]). (see table 4-11 on page 55 for bit def- initions.) the sysclk input may be sto pped after suspa# has been asserted to further reduce powe r consumption if the system is configured for 3v suspend mode. (see section 6.1.4 "3 volt suspend" on page 174 for details regarding this mode). serialp l3 q1 o serial packet serial packet is the single wire serial-transmission signal to the cs5530a chip. the clock used for this interface is sysclk. this interface carries packets of miscellaneous information to the chipset to be used by the vsa technology software han- dlers. 3.2.1 system interface signals (continued) signal name bga pin no. spga pin no. type description
amd geode? gx1 processor data book 35 signal definitions revision 4.1 3.2.2 pci interface signals signal name bga pin no. spga pin no. type description frame# a8 (pu) c13 (pu) s/t/s frame frame# is driven by the current master to indicate the begin- ning and duration of an access. frame# is asserted to indicate a bus transaction is beginning. while frame# is asserted, data transfers continue. when frame# is deasserted, the transaction is in the final data phase. this pin is internally connected to a weak (>20-kohm) pull-up resistor. irdy# c9 (pu) d14 (pu) s/t/s initiator ready irdy# is asserted to indicate that the bus master is able to complete the current data phase of the transaction. irdy# is used in conjunction with trdy#. a data phase is completed on any sysclk in which both ir dy# and trdy# are sampled asserted. during a write, irdy# indicates valid data is present on ad[31:0]. during a read, it i ndicates the master is prepared to accept data . wait cycles are inserted until both irdy# and trdy# are asserted together. this pin is internally connected to a weak (>20-kohm) pull-up resistor. trdy# b9 (pu) b14 (pu) s/t/s target ready trdy# is asserted to indicate that the target agent is able to complete the current data phase of the transaction. trdy# is used in conjunction with irdy#. a data phase is complete on any sysclk in which both tr dy# and irdy# are sampled asserted. during a read, trdy# indicates that valid data is present on ad[31:0]. during a writ e, it indicates the target is prepared to accept da ta. wait cycles are inserted until both irdy# and trdy# are asserted together. this pin is internally connected to a weak (>20-kohm) pull-up resistor. stop# c11 (pu) a15 (pu) s/t/s target stop stop# is asserted to indicate that the current target is request- ing the master to stop the curr ent transaction. this signal is used with devsel# to indicate re try, disconnect or target abort. if stop# is sampled active while a master, frame# will be deasserted and the cycle will be stopped within three sysclks. stop# can be asserted in the following cases:  a pci master tries to access memory that has been locked by another master. this conditi on is detected if frame# and lock# are asserted during an address phase.  the pci write buffers are full or a previously buffered cycle has not completed.  read cycles that cross cache li ne boundaries. this is condi- tional based upon the programming of the sdbe bit in pci control function register 2 (i ndex 41h[1]). (see table 5-44 on page 168 for bit definition.) this pin is internally connected to a weak (>20-kohm) pull-up resistor.
36 amd geode? gx1 processor data book signal definitions revision 4.1 ad[31:0] refer to table 3-3 refer to table 3-5 i/o multiplexed address and data addresses and data are multiplexed together on the same pins. a bus transaction consists of an address phase in the cycle in which frame# is asserted followed by one or more data phases. during the address phase, ad[31:0] contain a physical 32-bit address. during data phases, ad[7:0] contain the least significant byte (lsb) and ad[31: 24] contain the most signifi- cant byte (msb). write data is stable and valid when irdy# is asserted and read data is stable and valid when trdy# is asserted. data is transferr ed during the sysclk when both irdy# and trdy# are asserted. c/be[3:0]# d5, b8, c13, a15 b6, b12, b18, e21 i/o multiplexed command and byte enables c/be# are the bus commands and byte enables. they are mul- tiplexed together on the same pci pins. during the address phase of a transaction when frame# is active, c/be[3:0]# define the bus command. during the data phase c/be[3:0]# are used as byte enables. the byte enables are valid for the entire data phase and determine which byte lanes carry meaningful data. c/be0# applies to byte 0 (lsb) and c/be3# applies to byte 3 (msb). the command encoding and types are listed below. 0000 = interrupt acknowledge 0001 = special cycle 0010 = i/o read 0011 = i/o write 0100 = reserved 0101 = reserved 0110 = memory read 0111 = memory write 1000 = reserved 1001 = reserved 1010 = configuration read 1011 = configuration write 1100 = memory read multiple 1101 = dual address cycle (reserved) 1110 = memory read line 1111 = memory write and invalidate pa r b 1 2 c 1 7 i / o parity par is used with ad[31:0] and c/be[3:0]# to generate even parity. parity generation is required by all pci agents: the mas- ter drives par for address and write-data phases, the target drives par for read-data phases. for address phases, par is stab le and valid one sysclk after the address phase. for data phases, par is stable and valid one sysclk after either irdy# is asserted on a write transaction or after trdy# is asserted on a read transaction. once par is valid, it remains valid until one sysclk after the completion of the data phase. (also see perr# description on page 37.) 3.2.2 pci interface signals (continued) signal name bga pin no. spga pin no. type description
amd geode? gx1 processor data book 37 signal definitions revision 4.1 lock# b11 (pu) b16 (pu) s/t/s lock operation lock# indicates an atomic operati on that may require multiple transactions to complete. when lock# is asserted, nonexclu- sive transactions may proceed to an address that is not cur- rently locked (at least 16 bytes must be locked). a grant to start a transaction on pci does not guarantee control of lock#. control of lock# is obtained under its own protocol in conjunc- tion with gnt#. it is possible for different agents to use pci while a single master retains ownership of lock#. the arbiter can implement a complete system lock. in this mode, if lock# is active, no other master can gain access to the system until the lock# is deasserted. this pin is internally connected to a weak (>20-kohm) pull-up resistor. devsel# a9 (pu) e15 (pu) s/t/s device select devsel# indicates that the dr iving device has decoded its address as the target of the cu rrent access. as an input, devsel# indicates whether an y device on the bus has been selected. devsel# will also be driven by any agent that has the ability to accept cycles on a subtractive decode basis. as a master, if no devsel# is detected within and up to the subtrac- tive decode clock, a master abort cycle will result except for special cycles which do not expect a devsel# returned. this pin is internally connected to a weak (>20-kohm) pull-up resistor. perr# a11 (pu) d16 (pu) s/t/s parity error perr# is used for the reporting of data parity errors during all pci transactions except a special cycle. the perr# line is driven two sysclks after the data in which the error was detected, which is one sysclk after the par that was attached to the data. the minimum duration of perr# is one sysclk for each data phase in which a data parity error is detected. perr# must be driven high for one sysclk before going to tri-state. a target a sserts perr# on write cycles if it has claimed the cycle with devsel#. the master asserts perr# on read cycles. this pin is internally connected to a weak (>20-kohm) pull-up resistor. serr# c12 (pu) a17 (pu) od system error serr# may be asserted by any agent for reporting errors other than pci parity. the intent is to have the pci central agent assert nmi to the processor. when the parity enable bit is set in the pci command register (see table 5-44 on page 166 for bit description), serr# will be asserted upon detecting a parity error on read operations from dram. req[2:0]# d3, h3, e3 (pu) e3, k2, e1 (pu) i request lines req# indicates to the arbiter that an agent desires use of the bus. each master has its own req# line. req# priorities are based on the arbitration scheme chosen. this pin is internally connected to a weak (>20-kohm) pull-up resistor. 3.2.2 pci interface signals (continued) signal name bga pin no. spga pin no. type description
38 amd geode? gx1 processor data book signal definitions revision 4.1 gnt[2:0]# e1, f2, d1 h2, k4, f2 o grant lines gnt# indicates to the requesting master that it has been granted access to the bus. each master has its own gnt# line. gnt# can be pulled away at any time a higher req# is received or if the master does not begin a cycle within a mini- mum period of time (16 sysclk s). a 10k pull-up resistor should be connected to each gnt# signal. 3.2.2 pci interface signals (continued) signal name bga pin no. spga pin no. type description 3.2.3 memory controller interface signals signal name bga pin no. spga pin no. type description md[63:0] refer to table 3-3 refer to table 3-5 i/o memory data bus the data bus lines driven to/from system memory. ma[12:0] refer to table 3-3 refer to table 3-5 o memory address bus the multiplexed row/column address lines driven to the system memory. supports 256 mb sdram. ba[1:0] ad26, ad25 aj33, ak36 o bank address bits these bits are used to select the component bank within the sdram. cs[3:0]# ae23, v25, ad23, v26 ak32, z34, an33, aa35 o chip selects the chip selects are used to select the module bank within the system memory. each chip sele ct corresponds to a specific module bank. if cs# is high, the bank(s) do not respond to ras#, cas#, we# until the bank is selected again. rasa#, rasb# w24, w25 ab36, ab34 o row address strobe ras#, cas#, we# and cke are encoded to support the differ- ent sdram commands. rasa# is used with cs [1:0]#. rasb# is used with cs[3:2]#. casa#, casb# p25, r26 w37, x36 o column address strobe ras#, cas#, we# and cke are encoded to support the differ- ent sdram commands. casa# is used with cs [1:0]#. casb# is used with cs[3:2]#. wea#, web# r25, r24 w33, w35 o write enable ras#, cas#, we# and cke are encoded to support the differ- ent sdram commands. wea# is used with cs[1:0]#. web# is used with cs[3:2]#. ckea, ckeb af24, ad16 al33, an23 o clock enable for normal operation, cke is held high. cke goes low during suspend. ckea is used with cs[1:0]#. ckeb is used with cs[3:2]#.
amd geode? gx1 processor data book 39 signal definitions revision 4.1 dqm[7:0] refer to table 3-3 refer to table 3-5 o data mask control bits during memory read cycles, these outputs control whether the sdram output buffers are driven on the md bus or not. all dqm signals are asserted during read cycles. during memory write cycles, thes e outputs contro l whether or not md data will be written into the sdram. dqm[0] is associated with md[7:0]. dqm[7] is associ ated with md[63:56]. sdclk[3:0] ae4, af5, ae5, af4 am8, ak10, al7, ak8 o sdram clocks the sdram devices sample all the control, address, and data based on these clocks. sdclk_in ae8 ak12 i sdram clock input the gx1 processor samples the memory read data on this clock. works in conjunction with the sdclk_out signal. sdclk_out af8 al13 o sdram clock output this output is routed back to sdclk_in. the board designer should vary the length of the board trace to control skew between sdclk_in and sdclk. 3.2.3 memory controller interface signals (continued) signal name bga pin no. spga pin no. type description 3.2.4 video interface signals signal name bga pin no. spga pin no. type description pclk ac1 aj1 o pixel port clock pclk is the pixel dot clock output . it clocks the pixel data from the gx1 processor to the cs5530a. vid_clk p1 v4 o video clock vid_clk is the video port clock to the cs5530a. dclk ab1 ad4 i dot clock the dclk input is driven from the cs5530a and is the pixel dot clock. in some cases this cloc k can be a 2x multiple of pclk crt_hsync w2 ad2 o crt horizontal sync crt horizontal sync establishes the line rate and horizontal retrace interval for an attached crt. the polarity is program- mable via the chsp bit in t he dc_timing_cfg register (gx_base+8308h[8]) (see table 5-29 on page 144 bit defini- tion.) crt_vsync aa3 ah2 o crt vertical sync crt vertical sync establishes the screen refresh rate and verti- cal retrace interval for an attached crt. the polarity is pro- grammable via the cvsp bit in the dc_timing_cfg register (gx_base+8308h[89]) (see tabl e 5-29 on page 144 bit defini- tion.)
40 amd geode? gx1 processor data book signal definitions revision 4.1 fp_hsync l2 r4 o flat panel horizontal sync flat panel horizontal sync establishes the line rate and hori- zontal retrace interval for a tft display. polarity is programma- ble via the fhsp bit in the dc_timing_cfg register (gx_base+8308h[10]) (see tabl e 5-29 on page 144 bit defini- tion.) this signal is an input to the cs5530a. the cs5530a re-drives this signal to the flat panel. if no flat panel is used in the system, this signal is not con- nected. fp_vsync j1 p2 o flat panel vertical sync flat panel vertical sync establishes the screen refresh rate and vertical retrace interval for a tft display. polarity is program- mable via the fvsp bit in the dc_timing_cfg register (gx_base+8308h[11]) (see tabl e 5-29 on page 144 bit defini- tion.) this signal is an input to the cs5530a. the cs5530a re-drives this signal to the flat panel. if no flat panel is used in the system, this signal is not con- nected. ena_disp ad5 am6 o display enable display enable indicates the active display portion of a scan line to the cs5530a. in a cs5530a-based system, this signal is requ ired to be con- nected. vid_rdy ad1 ak2 i video ready this input signal indicates that the video fifo in the cs5530a is ready to receive more data. vid_val m2 s3 o video valid vid_val indicates that video data to the cs5530a is valid. vid_data[7:0] refer to table 3-3 refer to table 3-5 o video data bus when the video port is enabled, this bus drives video (yuv or rgb 5:6:5) data synchronous to the vid_clk output. pixel[17:0] refer to table 3-3 refer to table 3-5 o graphics pixel data bus this bus drives graphics pixel data synchronous to the pclk output. 3.2.4 video interface signals (continued) signal name bga pin no. spga pin no. type description
amd geode? gx1 processor data book 41 signal definitions revision 4.1 3.2.5 power, ground, and no connect signals signal name bga pin no. spga pin no. type description v ss refer to table 3-3 (total of 71) refer to table 3-5 (total of 50) gnd ground connection v cc2 refer to table 3-3 (total of 32) refer to table 3-5 (total of 32) pwr 1.8v, 2.0v, or 2.2v (nomin al) core power connection v cc3 refer to table 3-3 (total of 32) refer to table 3-5 (total of 18) pwr 3.3v (nominal) i/o power connection nc ac5 q5, x2, z2, am36 -- no connection a line designated as nc must be left disconnected. 3.2.6 internal test and measurement signals signal name bga pin no. spga pin no. type description flt# ac2 aj3 i float float forces the gx1 processor to float all outputs in the high- impedance state and to enter a power-down state. rw_clk ae6 al11 o raw clock this output is the gx1 processor clock. this debug signal can be used to verify clock operation. test[3:0] b22, a23, b21, c21 d28, b32, d26, a33 o sdram test outputs these outputs are used for internal debug only. tclk j2 (pu) p4 (pu) i test clock jtag test clock. this pin is internally connected to a weak (>20-kohm) pull-up resistor. tdi d2 (pu) f4 (pu) i test data input jtag serial test-data input. this pin is internally connected to a weak (>20-kohm) pull-up resistor. tdo f1 j1 o test data output jtag serial test-data output. tms h1 (pu) n3 (pu) i test mode select jtag test-mode select. this pin is internally connected to a weak (>20-kohm) pull-up resistor.
42 amd geode? gx1 processor data book signal definitions revision 4.1 test f3 (pd) j5 (pd) i test test-mode input. this pin is internally connected to a weak (>20-kohm) pull-down resistor. tdp d26 f36 o thermal diode positive tdp is the positive terminal of the thermal diode on the die. the diode is used to do thermal characterization of the device in a system. this signal works in conjunction with tdn. tdn e24 e37 o thermal diode negative tdn is the negative terminal of the thermal diode on the die. the diode is used to do thermal characterization of the device in a system. this signal works in conjunction with tdp. 3.2.6 internal test and measurement signals (continued) signal name bga pin no. spga pin no. type description
amd geode? gx1 processor data book 43 processor programming revision 4.1 4.0 processor programming this section describes the internal operations of the amd geode? gx1 processor from a programmer?s point of view. it includes a description of the traditional ?core? pro- cessing and fpu operations. the integrated functions are described in section 5.0 "integrated functions" starting on page 43. the primary register sets within the processor core include:  application register set  system register set  model specific register set the initialization of the major registers within the core are shown in table 4-1. the integrated function sets are located in main memory space and include:  internal bus interface unit register set  graphics pipeline register set  display controller register set  memory controller register set  power management register set 4.1 core processor initialization the gx1 processor is initialized when the reset signal is asserted. the processor is placed in real mode and the registers listed in table 4-1 are set to their initialized val- ues. reset invalidates and disables the cpu cache, and turns off paging. when reset is asserted, the cpu termi- nates all local bus activity and all internal execution. while reset is asserted the intern al pipeline is flushed and no instruction execution or bus activity occurs. approximately 150 to 250 external clock cycles after reset is deasserted, the processor begins executing instructions at the top of ph ysical memory (address location fffffff0h). the actual number of clock cycles depends on the clock scaling in use. also, before execution begins, an additional 2 20 clock cycles are needed when self-test is requested. typically, an intersegment jump is placed at fffffff0h. this instruction will force the processor to begin execution in the lowest 1 mb of address space. table 4-1 lists the core registers and illustrates how they are initialized. table 4-1. initialized core register controls register register name initialized contents 1 comments eax accumulator xxxxxxxxh 00000000h indicates self-test passed. ebx base xxxxxxxxh ecx count xxxxxxxxh edx data xxxx 04 [dir0]h dir0 = device id ebp base pointer xxxxxxxxh esi source index xxxxxxxxh edi destination index xxxxxxxxh esp stack pointer xxxxxxxxh eflags extended flags 00000002h see table 4-4 on page 49 for bit definitions. eip instruction pointer 0000fff0h es extra segment 0000h base address set to 00000000h. limit set to ffffh. cs code segment f000h base address set to ffff0000h. limit set to ffffh.
44 amd geode? gx1 processor data book core processor initialization revision 4.1 ss stack segment 0000h base address set to 00000000h. limit set to ffffh. ds data segment 0000h base address set to 00000000h. limit set to ffffh. fs extra segment 0000h base address set to 00000000h. limit set to ffffh. gs extra segment 0000h base address set to 00000000h. limit set to ffffh. idtr interrupt descriptor table register base = 0, limit = 3ffh gdtr global descripto r table register xxxxxxxxh ldtr local descriptor table register xxxxh tr task register xxxxh cr0 control register 0 60000010h see table 4-7 on page 52 for bit definitions. cr2 control register 2 xxxxxxxxh see tabl e 4-7 on page 52 for bit definitions. cr3 control register 3 xxxxxxxxh see tabl e 4-7 on page 51 for bit definitions. cr4 control register 4 00000000h see table 4-7 on page 51 for bit definitions. ccr1 configuration control 1 00h see table 4-11 on page 55 for bit definitions. ccr2 configuration control 2 00h see table 4-11 on page 55 for bit definitions. ccr3 configuration control 3 00h see table 4-11 on page 55 for bit definitions. ccr4 configuration control 4 00h see table 4-11 on page 56 for bit definitions. ccr7 configuration control 7 00h see table 4-11 on page 56 for bit definitions. smhr smm header address 000000h see table 4-11 on page 57 for bit definitions smar smm address 0 000000h see table 4-11 on page 57 for bit definitions. dir0 device identification 0 4xh device id and reads back initial cpu clock- speed setting. see table 4-11 on page 57 for bit definitions. dir1 device identification 1 xxh stepping and revision id (ro). see table 4-11 on page 57 for bit definitions. dr7 debug register 7 00000400h see table 4-13 on page 59 for bit definitions. 1. x = undefined value table 4-1. initialized core register controls (continued) register register name initialized contents 1 comments
amd geode? gx1 processor data book 45 instruction set overview revision 4.1 4.2 instruction set overview the gx1 processor instruction set can be divided into nine types of operations:  arithmetic  bit manipulation  shift/rotate  string manipulation  control transfer  data transfer  floating point  high-level language support  operating system support the gx1 processor instructions operate on as few as zero operands and as many as three operands. a nop (no operation) instruction is an example of a zero-operand instruction. two-operand inst ructions allow the specifica- tion of an explicit source and destination pair as part of the instruction. these two-operand instructions can be divided into ten groups according to operand types:  register to register  register to memory  memory to register  memory to memory  register to i/o  i/o to register  memory to i/o  i/o to memory  immediate data to register  immediate data to memory an operand can be held in the instruction itself (as in the case of an immediate operand), in one of the processor?s registers or i/o ports, or in memory. an immediate operand is fetched as part of the opcode for the instruction. operand lengths of 8, 16, 32 or 48 bits are supported as well as 64 or 80 bits associated with floating-point instruc- tions. operand lengths of 8 or 32 bits are generally used when executing code written for 386- or 486-class (32-bit code) processors. operand lengths of 8 or 16 bits are gen- erally used when executing existing 8086 or 80286 code (16-bit code). the default length of an operand can be overridden by placing one or more instruction prefixes in front of the opcode. for example, the use of prefixes allows a 32-bit operand to be used with 16-bit code or a 16-bit operand to be used with 32-bit code. section 8.3 "processor core instruction set" on page 210 contains the clock count table that lists each instruction in the cpu instruction set. includ ed in the table are the asso- ciated opcodes, execution clock counts, and effects on the eflags register. 4.2.1 lock prefix the lock prefix may be placed before certain instructions that read, modify, then write back to memory. the pci will not be granted access in the middle of locked instructions. the lock prefix can be used with the following instructions only when the result is a write operation to memory.  bit test instructions (bts, btr, btc)  exchange instructions (xadd, xchg, cmpxchg)  one-operand arithmetic and logical instructions (dec, inc, neg, not)  two-operand arithmetic and logical instructions (adc, add, and, or, sbb, sub, xor). an invalid opcode exception is generated if the lock pre- fix is used with any other instruction or with one of the instructions above when no write operation to memory occurs (for example, when the destination is a register). 4.3 register sets the accessible registers in the processor are grouped into three sets: 1) the application register set contains the registers frequently used by application programmers. table 4-2 on page 47 shows the general purpose, segment, instruction pointer and eflags registers. 2) the system register set contains the registers typi- cally reserved for operating systems programmers: control, system address, debug, configuration, and test registers. 3) the model specific register (msr) set is used to monitor the performance of the processor or a specific component within the proc essor. the model specific register set has one 64-bit register called the time stamp counter. each of these register sets are discussed in detail in the subsections that follow. additio nal registers to support inte- grated gx1 processor subsyst ems are described in sec- tion 5.1 "integrated functions programming interface" on page 98.
46 amd geode? gx1 processor data book register sets revision 4.1 4.3.1 application register set the application register set consists of the registers most often used by the applicati ons programmer. these regis- ters are generally accessible, although some bits in the eflags registers are protected. the general purpose register contents are frequently modified by instructions and typically contain arithmetic and logical instruction operands. in real mode, segment registers contain the base address for each segment. in protected mode, the seg- ment registers contain segment selectors. the segment selectors provide indexing for tables (located in memory) that contain the base address fo r each segment, as well as other memory addressing information. the instruction pointer register points to the next instruction that the processor will execute. this register is automatically incremented by the processor as execution progresses. the eflags register contains control bits used to reflect the status of previously exec uted instructions. this register also contains control bits th at affect the operation of some instructions. 4.3.1.1 general pu rpose registers the general purpose registers are divided into four data registers, two pointer registers, and two index registers as shown in table 4-2 on page 47. the data registers are used by the applications program- mer to manipulate data structures and to hold the results of logical and arithmetic operations. different portions of gen- eral data registers can be addressed by using different names. an ?e? prefix identifies the complete 32-bit register. an ?x? suffix without the ?e? prefix id entifies the lower 16 bits of the register. the lower two bytes of a data register are addressed with an ?h? suffix (identifies the upper byte) or an ?l? suffix (identi- fies the lower byte). these _l and _h portions of the data registers act as independent registers. for example, if the ah register is written to by an instruction, the al register bits remain unchanged. the pointer and index registers are listed below. si or esi source index di or edi destination index sp or esp stack pointer bp or ebp base pointer these registers can be addressed as 16- or 32-bit registers, with the ?e? prefix indicating 32 bits. the pointer and index registers can be used as general purpose registers; how- ever, some instructions use a fixed assignment of these registers. for example, repeated string operations always use esi as the source pointer, edi as the destination pointer, and ecx as a counter . the instructions that use fixed registers include multiply and divide, i/o access, string operations, stack operations, loop, variable shift and rotate, and translate instructions. the gx1 processor implements a stack using the esp reg- ister. this stack is accessed during the push and pop instructions, procedure calls, procedure returns, interrupts, exceptions, and interrupt/exception returns. the gx1 pro- cessor automatically adjusts the value of the esp during operations that result from these instructions. the ebp register may be used to refer to data passed on the stack during procedure calls. local data may also be placed on the stack and accessed with bp. this register provides a mechanism to access stack data in high-level languages.
amd geode? gx1 processor data book 47 register sets revision 4.1 table 4-2. application register set 313029282726252423222120191817161514131211109876543210 general purpose registers ax ah al eax (extended a register) bx bh bl ebx (extended b register) cx ch cl ecx (extended c register) dx dh dl edx (extended d register) si (source index) esi (extended source index) di (destination index) edi (extended destination index) bp (base pointer) ebp (extended base pointer) sp (stack pointer) esp (extended stack pointer) segment (selector) registers cs (code segment) ss (stack segment) ds (d data segment) es (e data segment) fs (f data segment) gs (g data segment) instruction pointer and eflags registers eip (extended instruction pointer) esp (extended eflags register)
48 amd geode? gx1 processor data book register sets revision 4.1 4.3.1.2 segment registers the 16-bit segment registers, part of the main memory addressing mechanism, are described in section 4.5 "off- set, segment, and paging mechanisms" on page 67. the six segment registers are: cs- code segment ds- data segment ss- stack segment es- extra segment fs- additional data segment gs- additional data segment the segment registers are used to select segments in main memory. a segment acts as private memory for different elements of a program such as code space, data space, and stack space. there are two segment mechanisms, one for real and vir- tual 8086 operating modes and one for protected mode. initialization and transition to protected mode is described in section 4.9.4 "initializati on and transition to protected mode" on page 93. the segment mechanisms are described in section 4.5.2 "segment mechanisms" on page 68. the active segment register is selected according to the rules listed in table 4-3 and the type of instruction being currently processed. in general, the ds register selector is used for data references. stack references use the ss reg- ister, and instruction fetches use the cs register. while some selections may be overridden, instruction fetches, stack operations, and the destination write operation of string operations cannot be overridden. special segment- override instruction prefixes a llow the use of alternate seg- ment registers. these segment registers include the es, fs, and gs registers. 4.3.1.3 instruction pointer register the instruction pointer (eip) register contains the offset into the current code segment of the next instruction to be executed. the register is normally incremented by the length of the current instruct ion with each instruction exe- cution unless it is implicitly modified through an interrupt, exception, or an instruction that changes the sequential execution flow (for example jmp and call). table 4-3 illustrates the code segment selection rules. . table 4-3. segment register selection rules type of memory reference implied (default) segment segment-override prefix code fetch cs none destination of push, pushf, int, call, pusha instructions ss none source of pop, popa, popf, iret, ret instructions ss none destination of stos, movs, rep stos, rep movs instructions es none other data references with effective address using base registers of: eax, ebx, ecx, edx, esi, edi, ebp, esp ds ss cs, es, fs, gs, ss cs, ds, es, fs, gs
amd geode? gx1 processor data book 49 register sets revision 4.1 4.3.1.4 eflags register the eflags register contains status information and con- trols certain operations on the gx1 processor. the lower 16 bits of this register are used when executing 8086 or 80286 code. table 4-4 gives the bit formats for the eflags register. table 4-4. eflags register bit name flag type description 31:22 rsvd -- reserved: set to 0. 21 id system identification bit : the ability to set and clear this bit indicates that the cpuid instruction is sup- ported. the id can be modified only if the cpuid bit in ccr4 (index e8h[7]) is set. 20:19 rsvd -- reserved: set to 0. 18 ac system alignment check enable: in conjunction with the am flag (b it 18) in cr0, the ac flag deter- mines whether or not misaligned accesses to me mory cause a fault. if ac is set, alignment faults are enabled. 17 vm system virtual 8086 mode: if set while in protected mode, the pr ocessor switches to virtual 8086 oper- ation handling segment loads as the 8086 does, but generating exception 13 faults on privileged opcodes. the vm bit can be set by the iret instructi on (if current privilege level is 0) or by task switches at any privilege level. 16 rf debug resume flag: used in conjunction with debug register breakpoints. rf is checked at instruction boundaries before breakpoint excepti on processing. if set, any debug fault is ignored on the next instruction. 15 rsvd -- reserved: set to 0. 14 nt system nested task: while executing in protected mode, nt i ndicates that the execution of the current task is nested within another task. 13:12 iopl system i/o privilege level: while executing in protected mode, iopl indicates the maximum current privilege level (cpl) permitted to execute i/o instructions without generating an exception 13 fault or consulting the i/o permi ssion bit map. iopl also indi cates the maximum cpl allowing alteration of the if bit when new values are popped into the eflags register. 11 of arithmetic overflow flag: set if the operation resulted in a carry or borrow into the sign bit of the result but did not result in a carry or borrow out of the hi gh-order bit. also set if the operation resulted in a carry or borrow out of the high-order bit but did not result in a carry or borrow into the sign bit of the result. 10 df control direction flag: when cleared, df causes string instru ctions to auto-increment (default) the appropriate index registers (esi and/or edi). se tting df causes auto-decrement of the index registers to occur. 9 if system interrupt enable flag: when set, maskable interrupts (intr input pin) are acknowledged and serviced by the cpu. 8 tf debug trap enable flag: once set, a single-step interrupt occurs after the next instruction completes execution. tf is cleared by the single-step interrupt. 7 sf arithmetic sign flag: set equal to high-order bit of result (0 indicates positive, 1 indicates negative). 6 zf arithmetic zero flag: set if result is zero; cleared otherwise. 5 rsvd -- reserved: set to 0. 4 af arithmetic auxiliary carry flag: set when a carry out of (addition) or borrow into (subtraction) bit position 3 of the result occurs; cleared otherwise. 3 rsvd -- reserved: set to 0. 2 pf arithmetic parity flag: set when the low-order 8 bits of the result contain an even number of ones; other- wise pf is cleared. 1 rsvd reserved: set to 1. 0 cf arithmetic carry flag: set when a carry out of (addition) or borrow into (subtraction) the most significant bit of the result occurs; cleared otherwise.
50 amd geode? gx1 processor data book register sets revision 4.1 4.3.2 system register set the system register set, shown in table 4-5, consists of registers not generally used by application programmers. these registers are typically employed by system level pro- grammers who generate operating systems and memory management programs. associ ated with the system reg- ister set are certain tables and segments which are listed in table 4-5. the control registers control certain aspects of the gx1 processor such as paging, coprocessor functions, and seg- ment protection. the configuration registers are used to define the gx1 cpu setup including cache management. the debug registers provide debugging facilities for the gx1 processor and enable the use of data access break- points and code execution breakpoints. the test registers provide a mechanism to test the con- tents of both the on-chip 16 kb cache and the translation lookaside buffer (tlb). the descriptor table register hold descriptors that man- age memory segments and tables, interrupts and task switching. the tables are defined by corresponding regis- ters. the two task state segment tables defined by tss reg- ister are used to save and load the computer state when switching tasks. the id registers allow bios and other software to identify the specific cpu and stepping. system management mode (s mm) control information is stored in the smm registers . table 4-5 lists the system regist er sets along with their size and function. table 4-5. system register set group name function width (bits) control registers cr0 system control register 32 cr2 page fault linear address register 32 cr3 page directory base register 32 cr4 time stamp counter 32 configuration registers ccrn configuration control registers 8 debug registers dr0 linear breakpoint address 0 32 dr1 linear breakpoint address 1 32 dr2 linear breakpoint address 2 32 dr3 linear breakpoint address 3 32 dr6 breakpoint status 32 dr7 breakpoint control 32 te s t registers tr3 cache test 32 tr4 cache test 32 tr5 cache test 32 tr6 tlb test control 32 tr7 tlb test data 32 descriptor ta b l e s gdt general descriptor ta b l e 32 idt interrupt descriptor ta b l e 32 ldt local descriptor ta b l e 16 descriptor ta b l e registers gdtr gdt register 32 idtr idt register 32 ldtr ldt register 16 task state segment and registers tss task state segment ta b l e 16 tr tss register setup 16 id registers dirn device identification registers 8 smm registers smarn smm address region registers 8 smhrn smm header addresses 8 performance registers pcrn performance control registers 8
amd geode? gx1 processor data book 51 register sets revision 4.1 4.3.2.1 control registers a map of the control registers (cr0, cr1, cr2, cr3, and cr4) is shown in table 4-6 and the bit definitions are given in table 4-7. (these registers should not be confused with the crrn registers.) cr0 contains system control bits which configure operating modes and indicate the general state of the cpu. the lower 16 bits of cr0 are referred to as the machine status word (msw). when operating in real mode, any program can read and write the control registers. in protected mode, however, only privilege level 0 (most-privileged) programs can read and write these registers. l1 cache controller the gx1 processor contains an on-board 16 kb unified data/instruction write-back l1 cache. with the memory controller on-board, the l1 cach e requires no external logic to maintain coherency. all dma cycles automatically snoop the l1 cache. the cd bit (cache disable, bit 30) in cr0 globally controls the operating mode of the l1 cache. lcd and lwt, local cache disable and local write-through bits in the transla- tion lookaside buffer, control the mode on a page-by-page basis. additionally, memory configuration control can spec- ify certain memory regions as non-cacheable. if the cache is disabled, no further cache line fills occur. however, data already present in the cache continues to be used. for the cache to be completely disabled, the cache must be invalidated with a wbinvd instruction after the cache has been disabled. write-back caching improves performance by relieving con- gestion on slower external buses. with four dirty bits, the cache marks dirty locations on a double-word (dword) basis. this further reduces the number of dword write operations needed during a replacement or flush opera- tion. the gx1 processor will cache smm regions, reducing sys- tem management overhead to allow for hardware emula- tion such as vga. table 4-6. control registers map 313029282726252423222120191817161514131211109876543210 cr4 register control register 4 (r/w) rsvd tsc rsvd cr3 register control register 3 (r/w) pdbr (page directory base register) rsvd 0 0 rsvd cr2 register control register 2 (r/w) pfla (page fault linear address) cr1 register control register 1 (r/w) rsvd cr0 register control register 0 (r/w) pg cd nw rsvd am rsvd wp rsvd ne rsvd t em mp pe machine status word (msw) table 4-7. cr4-cr0 bit definitions bit name description cr4 register control register 4 (r/w) 31:3 rsvd reserved: set to 0 (always returns 0 when read). 2tsc time stamp counter instruction: if = 1 rdtsc instruction enabled for cpl = 0 only; reset state. if = 0 rdtsc instruction enabled for all cpl states. 1:0 rsvd reserved: set to 0 (always returns 0 when read). cr3 register control register 3 (r/w) 31:12 pdbr page directory base register: identifies page directory base address on a 4 kb page boundary. 11:0 rsvd reserved: set to 0.
52 amd geode? gx1 processor data book register sets revision 4.1 cr2 register control register 2 (r/w) 31:0 pfla page fault linear address: with paging enabled and after a page fault, pf la contains the linear address of the address that caused the page fault. cr1 register control register 1 (r/w) 31:0 rsvd reserved cr0 register control register 0 (r/w) 31 pg paging enable bit : if pg = 1 and protected mode is enabled (pe = 1), paging is enabled. after changing the state of pg, software must execute an unconditional branch instru ction (e.g., jmp, call) to have the change take effect. 30 cd cache disable : if cd = 1, no further cache line fills occur. howeve r, data already present in the cache continues to be used if the requested address hits in the cache. writ es continue to update the cache and cache invalidations due to inquiry cycles occur normally. th e cache must also be invalidated with a wbinvd instruction to completely disable any cache activity. 29 nw not write-through : if nw = 1, the on-chip cache operates in writ e-back mode. in write-back mode, writes are issued to the external bus only for a cache miss, a line repl acement of a modified line, execution of a locked instruc- tion, or a line eviction as the result of a flush cycle. if nw = 0, the on-chip cache operates in write-through mode. in write-through mode, all writes (includi ng cache hits) are issued to the exter nal bus. this bit cannot be changed if lock_nw = 1 in ccr2. 28:19 rsvd reserved 18 am alignment check mask : if am = 1, the ac bit in the eflags regi ster is unmasked and allowed to enable align- ment check faults. setting am = 0 prevents ac faults from occurring. 17 rsvd reserved 16 wp write protec t: protects read-only pages from supervisor write access. wp = 0 allows a read-only page to be writ- ten from privilege level 0-2. wp = 1 forces a fault on a write to a read-only page from any privilege level. 15:6 rsvd reserved 5ne numerics exception : ne = 1 to allow fpu exceptions to be handled by interrupt 16. ne = 0 if fpu exceptions are to be handled by external interrupts. 4rsvd reserved : do not attempt to modify, always 1. 3ts task switched : set whenever a task switch operation is perform ed. execution of a floati ng point instruction with ts = 1 causes a dna fault. if mp = 1 and ts = 1, a wait instruction also causes a dna fault. 2em emulate processor extension : if em = 1, all floating point in structions cause a dna fault 7. 1mp monitor processor extension : if mp = 1 and ts = 1, a wait instruction causes device not available (dna) fault 7. the ts bit is set to 1 on task switches by the cpu. fl oating point instructions are not affected by the state of the mp bit. the mp bit should be set to one during normal operations. 0pe protected mode enable : enables the segment based protection me chanism. if pe = 1, protected mode is enabled. if pe = 0, the cpu operates in real mode and add resses are formed as in an 8086-style cpu. refer to section 4.9 "protection" on page 92. table 4-7. cr4-cr0 bit definitions (continued) bit name description table 4-8. effects of various combinations of em, ts, and mp bits cr0[3:1] instruction type ts em mp wait esc 0 0 0 execute execute 0 0 1 execute execute 1 0 0 execute fault 7 1 0 1 fault 7 fault 7 0 1 0 execute fault 7 0 1 1 execute fault 7 1 1 0 execute fault 7 1 1 1 fault 7 fault 7
amd geode? gx1 processor data book 53 register sets revision 4.1 4.3.2.2 configura tion registers the configuration registers listed in table 4-9 are cpu registers and are selected by register index numbers. the registers are accessed through i/o memory locations 22h and 23h. registers are selected for access by writing an index number to i/o port 22h using an out instruction prior to transferring data through i/o port 23h. this opera- tion must be atomic. the cli instruction must be executed prior to accessing any of these registers. each data transfer through i/o port 23h must be preceded by a register index selectio n through i/o port 22h; other- wise, subsequent i/o port 23h o perations are directed off- chip and produce external i/o cycles. if mapen, bit 4 of ccr3 (ind ex c3h[4]) = 0, external i/o cycles occur if the register index number is outside the range c0h-cfh, feh, and ffh. the mapen bit should remain 0 during normal operati on to allow system registers located at i/o port 22h to be accessed. table 4-9. configuration register summary index type name access controlled by 1 default value reference (bit formats) c1h r/w ccr1: configuration control 1 smi_lock 00h table 4-11 on page 55 c2h r/w ccr2: configuration control 2 -- 00h table 4-11 on page 55 c3h r/w ccr3: configuration control 3 smi_lock 00h table 4-11 on page 55 e8h r/w ccr4: configuration control 4 mapen 85h table 4-11 on page 56 ebh r/w ccr7: configuration control 7 -- 00h table 4-11 on page 56 20h r/w pcr0: performance contro l 0 mapen 07h table 4-11 on page 56 b0h r/w smhr0: smm header address 0 mapen xxh table 4-11 on page 57 b1h r/w smhr1: smm header address 1 mapen xxh table 4-11 on page 57 b2h r/w smhr2: smm header address 2 mapen xxh table 4-11 on page 57 b3h r/w smhr3: smm header address 3 mapen xxh table 4-11 on page 57 b8h r/w gcr: graphics control regi ster mapen 00h table 5-1 on page 98 b9h r/w vgactl: vga control register -- 00h table 5-37 on page 160 bah-bdh r/w vgam0: vga mask register -- 00h table 5-37 on page 160 cdh r/w smar0: smm address 0 smi_lock 00h table 4-11 on page 57 ceh r/w smar1: smm address 1 smi_lock 00h table 4-11 on page 57 cfh r/w smar2: smm address 2 smi_lock 00h table 4-11 on page 57 f0h r/w pcr1: performance control 1 mapen 00 table 4-11 on page 57 feh ro dir0: device id 0 -- 4xh table 4-11 on page 57 ffh ro dir1: device id 1 -- xxh table 4-11 on page 57 1. mapen = index c3h[4] (ccr3) and smi_lock = index c3h[0] (ccr3).
54 amd geode? gx1 processor data book register sets revision 4.1 table 4-10. configuration register map register (index) bit 7 bit 6 bit 5 bit 4 bit 3 bit 2 bit 1 bit 0 control registers ccr1 (c1h) rsvd smac use_smi rsvd ccr2 (c2h) use_susp rsvd wt1 susp_hlt lock_nw rsvd ccr3 (c3h) lss_34 lss_23 lss_12 mapen susp_smm _en rsvd nmi_en smi_lock ccr4 (e8h) cpuid smi_nest fpu_fast_ en dte_en mem_byp iort2 iort1 iort0 ccr7 (ebh) rsvd nmi rsvd emmx pcr0 (20h) lsser rsvd vgamwsi rsvd inc_mgn1 btb inc_mgn0 pcr1 (f0h) rsvd inc 1xclk smm base header address registers smhr0 (b0h) a7 a6 a5 a4 a3 a2 a1 a0 smhr1 (b1h) a15 a14 a13 a12 a11 a10 a9 a8 smhr2 (b2h) a23 a22 a21 a20 a19 a18 a17 a16 smhr3 (b3h) a31 a30 a29 a28 a27 a26 a26 a24 smar0 (cdh) a31 a30 a29 a28 a27 a26 a25 a24 smar1 (ceh) a23 a22 a21 a20 a19 a18 a17 a16 smar2 (cfh) a15 a14 a13 a12 size3 size2 size1 size0 device id registers dir0 (feh) did3 did2 did1 did0 mult3 mult2 mult1 mult0 dir1 (ffh) sid3 sid2 sid1 sid0 rid3 rid2 rid1 rid0 graphics/vga related registers gcr (b8h) rsvd scratchpad size base address code vgactl (b9h) rsvd enable smi for vga memory b8000h to bffffh enable smi for vga memory b0000h to b7fffh enable smi for vga memory a0000h to affffh vgam0 (bah) vga mask register bits [7:0] vgam1 (bbh) vga mask register bits [15:8] vgam2 (bch) vga mask register bits [23:16] vgam3 (bdh) vga mask register bits [31:24]
amd geode? gx1 processor data book 55 register sets revision 4.1 table 4-11. configuration registers bit name description index c1h ccr1: configuration control register 1 (r/w) default value = 00h 7:3 rsvd reserved: set to 0. 2:1 smac system management memory access: if = 00: smm is disabled. if = 01: smi# pin is active to enter smm. smint instruction is inactive. if = 10: smm is disabled. if = 11: smint instruction is active to enter smm. smi# pin is inactive. note: smi_lock (ccr3[0]) must = 0, or the cpu must be in smi mode, to write this bit. 0 rsvd reserved: set to 0. note: bits 1 and 2 are cleared to zero at reset. index c2h ccr2: configuration control register 2 (r/w) default value = 00h 7 use_susp enable suspend pins : if = 1: susp# input and suspa# output are enabled. if = 0: susp# input is ignored. 6 rsvd reserved: this is a test bit that must be set to 0. 5 rsvd reserved: set to 0. 4wt1 write-through region 1 : if = 1: forces all writes to the address region between 640 kb to 1 mb that hit in the on-chip cache to be issued on the external bus. 3 susp_hlt suspend on halt: if = 1: cpu enters suspend mode followi ng execution of a halt instruction. 2lock_nw lock nw bit: if = 1: prohibits changing the state of the nw bit (cr0[29]) (refer to table 4-7 on page 52). set to 1 after setting nw. 1:0 rsvd reserved: set to 0. note: all bits are cleared to zero at reset. index c3h ccr3: configuration control register 3 (r/w) default value = 00h 7 lss_34 load/store serialize 3 gb to 4 gb: if = 1: strong r/w ordering imposed in address range c0000000h to ffffffffh: 6 lss_23 load/store serialize 2 gb to 3 gb: if = 1: strong r/w ordering imposed in address range 80000000h to bfffffffh: 5 lss_12 load/store serialize 1 gb to 2 gb : if = 1: strong r/w ordering imposed in address range 40000000h to 7fffffffh 4 mapen map enable: if = 1: all configuration registers are accessi ble. all accesses to i/o port 22h are trapped. if = 0: only configuration registers index c1h- c3h, cdh-cfh feh, ffh (ccrn, smar, dirn) are accessible. other configuration registers (incl uding pcrn, smhrn, gcr, vgactl, vgam0) are not accessible. 3 susp_smm_en enable suspend in smm mode: if 0 = susp# ignored in smm mode. if 1 = susp# recognized in smm mode. 2 rsvd reserved: set to 0. 1nmi_en nmi enable: if = 1: nmi is enabled during smm. if = 0: nmi is not recognized during smm. smi_lock (ccr3[0]) must = 0 or the cpu must be in smi mode to write to this bit. 0 smi_lock smm register lock: if = 1: smm address region register (smar[31:0]), smac (ccr1[2]), use_smi (ccr1[1]) cannot be modified unless in smm routine. once se t, smi_lock can only be cleared by asserting the reset pin. note: all bits are cleared to zero at reset.
56 amd geode? gx1 processor data book register sets revision 4.1 index e8h ccr4: configuration control register 4 (r/w) default value = 85h 7cpuid enable cpuid instruction: if = 1: the id bit in the eflags register can be modi fied and execution of the cpuid instruction occurs as documented in section 8.2 "cpuid instruction" on page 206. if = 0: the id bit can not be modified and execution of the cpuid instruction causes an invalid opcode exception. 6 smi_nest smi nest: if = 1: smi interrupts can occur during smm mode. s mm service routines can optionally set smi_nest high to allow higher-priority smi interrupts while handling the current event. 5 fpu_fast_en fpu fast mode enable: if 0 = disable fpu fast mode. if 1 = enable fpu fast mode 4dte_en directory table entry cache: if = 1: enables directory table entry to be cached. cleared to 0 at reset. 3 mem_byp memory read bypassing: if = 1: enables memory read bypassing. cleared to 0 at reset. 2:0 iort(2:0) i/o recovery time: specifies the minimum number of bus clocks between i/o accesses: 000 = no clock delay 100 = 16-clock delay 001 = 2-clock delay 101 = 32-clock delay (default value after reset) 010 = 4-clock delay 110 = 64-clock delay 011 = 8-clock delay 111 = 128-clock delay note: mapen (ccr3[4]) must = 1 to read or write this register. index ebh ccr7: configuration control register 7 (r/w) default value = 00h 7:3 rsvd reserved: set to 0. 2nmi generate nmi: if = 0 do nothing. if = 1 generate nmi. in order to generate multiple nmis, this bit must be set to zero between each setting of 1. 1 rsvd reserved: set to 0. 0 emmx extended mmx instructions enable: if = 1: extended mmx instructions are enabled. index 20h pcr0: performance control 0 register (r/w) default value = 07h 7 lsser load/store serialize enable (reorder disable): lsser should be set to ensure that memory mapped i/o devices operating outside of the address range 640 kb to 1 mb will operate correctly. for memory accesses above 1 gbyte, refer to ccr3[7:5] (lss_34, lss_23, lss_12). if = 1: all memory read and write operations will occur in execution order (load/store serializing enabled, reordering disabled). if = 0: memory reads and writes can be reordered for optimum performance (load/store serializing dis- abled, reordering enabled). memory accesses in the address range 640 kb to 1 mb will always be issued in execution order. 6 rsvd reserved: set to 0. 5vgamwsi vga memory write smi generation: allow smi generation on memory writes to vga buffer. 0 = disable; 1 = enable. this bit must be set to 1 for proper operation. 4:3 rsvd reserved: set to 0. 2 inc_mgn1 incrementor margin 1: 00 = least margin 01 to 10 = increasing margin 11 = most margin the first bit is represented by index 20h bit 2 and the second bit is represented by index 20h bit 0. table 4-11. configuration registers (continued) bit name description
amd geode? gx1 processor data book 57 register sets revision 4.1 1btb branch target buffer: 0 = disable (required setting); 1 = enable. 0 inc_mgn0 incrementor margin 0: 00 - least margin 01 to 10 - increasing margin 11 - most margin the first bit is represented by index 20h bit 2 and the second bit is represented by index 20h bit 0. note: mapen (ccr3[4]) must = 1 to read or write this register. index b0h, b1h, b2h, b3h smhr: smm header address register (r/w) default value = xxh index smhr bits smm header address bits [31:0]: smhr address bits [31:0] contain the physical base address for the smm header space. for example, bits [31:24] co rrespond with index b3h. refer to section 4.7.3 "smm configuration registers" on page 86 for more information. b3h b2h b1h b0h a[31:24] a[23:16] a[15:12] a[7:0] note: mapen (ccr3[4]) must = 1 to read or write to this register. index cdh, ceh, cfh smar: smm address region/size register (r/w) default value = 00h index smar bits smm address region bits [a31:a12]: smar address bits [31:12] c ontain the base address for the smm region. for example, bits [31:24] correspond with index cdh. refer to section 4.7.3 "smm con- figuration registers" on page 86 for more information. cdh ceh cfh[7:4] a[31:24] a[23:16] a[15:12] cfh[3:0] size[3:0] smm region size bits, [3:0]: size address bits contain the size code for the smm region. during access the lower 4-bits of port 23h hold size[3:0]. index cfh allows simultaneous access to smar address regions bits a[15:12] (see above) and size code bits. 0000 = smm disabled 0100 = 32 kb 1000 = 512 kb 1100 = 8 mb 0001 = 4 kb 0101 = 64 kb 1001 = 1 mb 1101 = 16 mb 0010 = 8 kb 0110 = 128 kb 1010 = 2 mb 1110 = 32 mb 0011 = 16 kb 0111 = 256 kb 1011 = 4 mb 1111 = 4 kb (same as 0001) note: 1. smi_lock (ccr3[0]) must = 0, or the cpu must be in smi mode, to write these registers/bits. 2. refer to section 4.7.3 "smm configuration registers" on page 86 for more information. index f0h pcr1: performance control 1 register default value = 01h 7:2 rsvd reserved : set to 0. 1inc incrementor: 0 = disable; 1 = enable. 01xclk 1x clock: this bit is an internal test mode bit and must be set to 1 for normal operation. index feh dir0: device identification register 0 (ro) default value = 4xh 7:4 did[3:0] device id (read only): identifies device as gxyy processor, where yy is defined by the dir1 register. 3:0 mult[3:0] core multiplier (read only): identifies the core multiplier set by the clkmode[2:0] pins (see signal descriptions on page 33) mult[3:0]: 0000 = sysclk multiplied by 4 (test mode only) 0001 = sysclk multiplied by 10 0010 = sysclk multiplied by 4 0011 = sysclk multiplied by 6 0100 = sysclk multiplied by 9 0101 = sysclk multiplied by 5 0110 = sysclk multiplied by 7 0111 = sysclk multiplied by 8 1xxx = reserved index ffh dir1: device identification register 1 (ro) default value = xxh 7:0 dir1 device identification revision (read only): dir1 indicates device revision number. if dir1 is 8xh = gx1 processor. see the geode? gx1 processor series specification update for "x" value for each revision of silicon. table 4-11. configuration registers (continued) bit name description
58 amd geode? gx1 processor data book register sets revision 4.1 4.3.2.3 debug registers six debug registers (dr0-dr3, dr6 and dr7) support debugging on the gx1 processor. memory addresses loaded in the debug registers, referred to as ?breakpoints,? generate a debug exception when a memory access of the specified type occurs to the specified address. a break- point can be specified for a particular kind of memory access such as a read or write operation. code and data breakpoints can also be set allowing debug exceptions to occur whenever a given data access (read or write opera- tion) or code access (execute) occurs. the size of the debug target can be set to 1, 2, or 4 bytes. the debug reg- isters are accessed through mov instructions that can be executed only at privilege level 0 (real mode is always priv- ilege level 0). the debug address registers (dr0-dr3) each contain the linear address for one of four possible breakpoints. each breakpoint is further specified by bits in the debug control register (dr7). for each breakpoint address in dr0-dr3, there are corresponding fields l, r/w, and len in dr7 that specify the type of memory access associated with the breakpoint. dr6 is read only and reports the results of the break. the r/w field can be used to specify instruction execution as well as data access breakpoints. instruction execution breakpoints are always acted upon before execution of the instruction that matches the breakpoint. the debug regis- ters are mapped in table 4-12, and the bit definitions are given in table 4-13 on page 59. table 4-12. debug registers 313029282726252423222120191817161514131211109876543210 dr7 register debug control register 7 (r/w) len3r/w3len2r/w2len1r/w1len0r/w000gd00100g3l3g2l2g1l1g0l0 dr6 register debug status register 6 (r/o 0000000000000000btbs0111111111b3b2b1b0 dr3 register debug address register 3 (r/w) breakpoint 3 linear address dr2 register debug address register 2 (r/w) breakpoint 2 linear address dr1 register debug address register 1 (r/w) breakpoint 1 linear address dr0 register debug address register 0 (r/w) breakpoint 0 linear address note: all bits marked as 0 or 1 are re served and should not be modified.
amd geode? gx1 processor data book 59 register sets revision 4.1 the debug status register (dr6) reflects conditions that were in effect at the time the debug exception occurred. the contents of the dr6 regi ster are not automatically cleared by the processor after a debug exception occurs, and therefore should be cleared by software at the appro- priate time. code execution breakpoints may also be gen- erated by placing the breakpoint instruction (int3) at the location where control is to be regained. the single-step feature may be enabled by setting the tf flag (bit 8) in the eflags register. this causes the processor to perform a debug exception after the execution of every instruction. table 4-13. dr7 and dr6 bit definitions field(s) number of bits description dr7 register 1 debug control register (r/w) r/wn 2 applies to the drn breakpoint address register: 00 = break on instruction execution only 01 = break on data write operations only 10 = not used 11 = break on data reads or write operations lenn 2 applies to the drn breakpoint address register: 00 = one-byte length 01 = two-byte length 10 = not used 11 = four-byte length gn 1 if = 1: breakpoint in drn is globally enabled for a ll tasks and is not cleared by the processor as the result of a task switch. ln 1 if = 1: breakpoint in drn is locally enabled for the current task and is cleared by the proces- sor as the result of a task switch. gd 1 global disable of debug register access. gd bit is cleared whenever a debug exception occurs. dr6 register 1 debug status register (ro) bn 1 bn is set by the processor if the conditions described by drn, r/wn, and lenn occurred when the debug exception occurred, even if the breakpoint is not enabled via the gn or ln bits. bt 1 bt is set by the processor before entering the debug handler if a task switch has occurred to a task with the t bit in the tss set. bs 1 bs is set by the processor if the debug exc eption was triggered by the single-step execution mode (tf flag, bit 8, in eflags set). 1. n = 0, 1, 2, and 3.
60 amd geode? gx1 processor data book register sets revision 4.1 4.3.2.4 tlb test registers two test registers are used in testing the processor?s trans- lation lookaside buffer (tlb), tr6 and tr7. table 4-14 is a register map for the tlb test registers with their bit defini- tions given in table 4-15 on page 61. the test registers are accessed through mov instructions that can be executed only at privilege level 0 (real mode is always privilege level 0). the processor?s tlb is a 32-entry, four-way set associative memory. each tlb entry consists of a 24-bit tag and 20-bit data. the 24-bit tag represents the high-order 20 bits of the linear address, a valid bit, and three attribute bits. the 20- bit data portion represents the upper 20 bits of the physical address that corresponds to the linear address. the tlb test control register (tr6) contains a command bit, the upper 20 bits of a linear address, a valid bit and the attribute bits used in the test operation. the contents of tr6 are used to create the 24-bit tlb tag during both write and read (tlb lookup) test operations. the command bit defines whether the test operation is a read or a write. the tlb test data register (tr7) contains the upper 20 bits of the physical address (tlb data field), three lru bits, two replacement (rep) bits, and a control bit (pl). during tlb write operations, the physical address in tr7 is written into the tlb entry sele cted by the contents of tr6. during tlb lookup operations, the tlb data selected by the contents of tr6 is loaded into tr7. table 4-15 lists the bit definitions for tr7 and tr6. table 4-14. tlb test registers 313029282726252423222120191817161514131211109876543210 tr7 register tlb test data register (r/w) physical address 0 0 tlb lru 0 0 pl rep 0 0 tr6 register tlb test control register (r/w) linear address v d d# u u# r r# 0 0 0 0 c
amd geode? gx1 processor data book 61 register sets revision 4.1 table 4-15. tr7-tr6 bit definitions bit name description tr7 register tlb test data register (r/w) 31:12 physical address physical address: tlb lookup: data field from the tlb. tlb write: data field written into the tlb. 11:10 rsvd reserved: set to 0. 9:7 tlb lru lru bits: tlb lookup: lru bits associated with the tlb entry before the tlb lookup. tlb write: ignored. 4pl pl bit: tlb lookup: if pl = 1, read hit occu rred. if pl = 0, read miss occurred. tlb write: if pl = 1, rep field is used to select the set. if pl = 0, the pseudo-lru replacement algorithm is used to select the set. 3:2 rep set selection: tlb lookup: if pl = 1, this field indicates the set in which the tag was found. if pl = 0, undefined data. tlb write: if pl = 1, this field selects one of the four sets for replacement. if pl = 0, ignored. 1:0 rsvd reserved: set to 0. tr6 register tlb test control register (r/w) 31:12 linear address linear address: tlb lookup: the tlb is interrogated per this address. if one and only one match occurs in the tlb, the rest of the fields in tr6 and tr7 are updated per the matching tlb entry. tlb write: a tlb entry is allocated to this linear address. 11 v valid bit: tlb write: if v = 1, the tlb entry contains valid data. if v = 0, target entry is invalidated. 10:9 8:7 6:5 d, d# u, u# r, r# dirty attribute bit and its complement (d, d#): user/supervisor attribute bit and its complement (u, u#): read/write attribute bit and its complement (r, r#): effect on tlb lookup effect on tlb write 00 = do not match undefined 01 = match if d, u, or r bit is a 0 clear the bit 10 = match if d, u, or r bit is a 1 set the bit 11 = match if d, u, or r bit is either a 1 or 0 undefined 4:1 rsvd reserved: set to 0. 0c command bit : if c = 1: tlb lookup. if c = 0: tlb write.
62 amd geode? gx1 processor data book register sets revision 4.1 4.3.2.5 cache test registers three test registers are used in testing the processor?s on- chip cache, tr3-tr5. table 4-16 is a register map for the cache test registers with their bit definitions given in table 4-17 on page 63. the test registers are accessed through mov instructions that can be executed only at privilege level 0 (real mode is always privilege level 0). the processor?s 16 kb on-chip cache is a four-way set associative memory that is configured as write-back cache. each cache set contains 256 entries. each entry consists of a 20-bit tag address, a 16-byt e data field, a valid bit, and four dirty bits. the 20-bit tag represents the high-order 20 bits of the physical address. the 16-byte data represents the 16 bytes of data currently in memory at the physical address repre- sented by the tag. the valid bit indicates whether the data bytes in the cache actually contain valid data. the four dirty bits indicate if the data bytes in the cache have been modi- fied internally without updating external memory (write- back configuration). each dirty bit indicates the status for one dword (4 bytes) within the 16-byte data field. for each line in the cache, there are three lru bits that indicate which of the four se ts was most recently accessed. a line is selected using bits [11:4] of the physical address. using a 16-byte cache fill buffer and a 16-byte cache flush buffer, cache reads and writes may be performed. figure 4-1 illustrates the internal cache architecture. figure 4-1. cache architecture d e c o d e 255 254 . . 0 a11-a4 line address = cache entry (153 bits) tag address (20 bits) data (128 bits) valid status (1 bit) dirty status (4 bits) set 0 set 1 set 2 set 3 lru . . . . . . . . . . 152---0 152---0 152 ---0 152---0 2---0 table 4-16. test registers for cache 31302928272625242322212019181716151413121110987654 3 2 10 tr5 register (r/w) rsvd line selection set/ dword ctl tr4 register (r/w) cache tag address 0 valid cache lru bits dirty bits 0 0 0 tr3 register (r/w) cache data
amd geode? gx1 processor data book 63 register sets revision 4.1 table 4-17. tr5-tr3 bit definitions bit name description tr5 register (r/w) 31:12 rsvd reserved 11:4 line selection line selection: physical address bits [11:4] used to select one of 256 lines. 3:2 set/dword selection set/dword selection: cache read: selects which of the four sets in the cache is used as the source for data transferred to the cache flush buffer. cache write: selects which of the four sets in the ca che is used as the destinati on for data transferred from the cache fill buffer. flush buffer read: selects which of the four dwo rds in the flush buffer is used during a tr3 read. fill buffer write: selects which of the four dwords in the fill buffer is written during a tr3 write. 1:0 control bits control bits: 00 = flush read or fill buffer write. 01 = cache write. 10 = cache read. 11 = cache flush. tr4 register (r/w) 31:12 upper tag address upper tag address: cache read: upper 20 bits of tag address of the selected entry. cache write: data written into the upper 20 bits of the tag address of the selected entry. 10 valid bit valid bit: cache read: valid bit for the selected entry. cache write: data written into the valid bit for the selected entry. 9:7 lru bits lru bits: cache read: the lru bits for the sele cted line when scratchpad is disabled. xx1 = set 0 or set 1 most recently accessed. xx0 = set 2 or set 3 most recently accessed. x1x = most recent access to set 0 or set 1 was to set 0. x0x = most recent access to set 0 or set 1 was to set 1. 1xx = most recent access to set 2 or set 3 was to set 2. 0xx = most recent access to set 2 or set 3 was to set 3. cache write: ignored. 6:3 dirty bits dirty bits: cache read: the dirty bits for the selected entry (one bit per dword). cache write: data written into the dirty bits for the selected entry. 2:0 rsvd reserved: set to 0. tr3 register (r/w) 31:0 cache data cache data: flush buffer read: data accessed from the cache flush buffer. fill buffer write: data to be written into the cache fill buffer.
64 amd geode? gx1 processor data book register sets revision 4.1 there are five types of test operations that can be exe- cuted:  flush buffer read  fill buffer write  cache write  cache read  cache flush these operations are described in detail in table 4-18. to fill a cache line with data, the f ill buffer must be written four times. once the fill buffer holds a complete cache line of data (16 bytes), a cache writ e operation transfers the data from the fill buffer to the cache. to read the contents of a ca che line, a cache read opera- tion transfers the data in the selected cache line to the flush buffer. once the flush buffer is loaded, access the contents of the flush buffer with four flush buffer read operations. table 4-18. cache test operations test operation code sequence action taken flush buffer read mov tr5, 0h mov dest,tr3 set dword = 0, control = 00 = flush buffer read. flush buffer (31:0) --> dest. mov tr5, 4h mov dest,tr3 set dword = 1, control = 00 = flush buffer read. flush buffer (63:32) --> dest. mov tr5, 8h mov dest,tr3 set dword = 2, control = 00 = flush buffer read. flush buffer (95:64) --> dest. mov tr5, ch mov dest,tr3 set dword = 3, control = 00 = flush buffer read. flush buffer (127:96) --> dest. fill buffer write mov tr5, 0h mov tr3, cache_data set dword = 0, control = 00 = fill buffer write. cache_data --> fill buffer (31:0). mov tr5, 4h mov tr3, cache_data set dword = 1, control = 00 = fill buffer write. cache_data --> fill buffer (63:32). mov tr5, 8h mov tr3, cache_data set dword = 2, control = 00 = fill buffer write. cache_data --> fill buffer (95:64). mov tr5, ch mov tr3, cache_data set dword = 3, control = 00 = fill buffer write. cache_data --> fill buffer (127:96). cache write mov tr4, cache_tag cache_tag --> tag address, valid and dirty bits. mov tr5, line + set + {control = 01} fill buffer (127:0) --> cache line (127:0). cache read mov tr5, line + set + {control = 10} mov dest, tr4 cache line (127:0) --> flush buffer (127:0). cache line tag address, valid/lru/dirty bits --> dest. cache flush mov tr5, 3h control = 11 = cache flush, all cache valid bits = 0.
amd geode? gx1 processor data book 65 register sets revision 4.1 4.3.3 model specific register set the model specific register (msr) set is used to monitor the performance of the processor or a specific component within the processor. a msr can be read using the rdmsr instruction, opcode 0f32h. during a msr read, the contents of the particular msr, specified by the ecx register, is loaded into the edx:eax registers. a msr can be written using the wrmsr instruction, opcode 0f30h. during a msr write, the contents of edx:eax are loaded in to the msr specified in the ecx register. the rdmsr and wrmsr instructions are privileged instructions. the gx1 processor contains one 64-bit model specific register (msr10) the time stamp counter (tsc). 4.3.4 time stamp counter the tsc, (msr[10]), is a 64-bit counter that counts the internal cpu clock cycles since the last reset. the tsc uses a continuous cpu core clock and continues to count clock cycles unless the pr ocessor is in suspend. the tsc is read using a rdmsr instruction, opcode 0f32h, with the ecx register set to 10h. during a tsc read, the contents of the ts c is loaded into the edx:eax registers. the tsc is written to use a wrmsr instruction, opcode 0f30h with the ecx register set to 10h. during a tsc write, the contents of edx: eax are loaded into the tsc. the rdmsr and wrmsr instructions are privileged instructions. in addition, the tsc can be read using the rdtsc instruc- tion, opcode 0f31h. the rdts c instruction loads the con- tents of the tsc into edx: eax. the use of the rdtsc instruction is restricted by the tsc flag (bit 2) in the cr4 register (refer to table 4-6 on page 51 and table 4-7 on page 51 for cr4 register information). when the tsc bit = 0, the rdtsc instruction can be executed at any privilege level. when the tsc bit = 1, the rdtsc instruction can only be executed at privilege level 0.
66 amd geode? gx1 processor data book address spaces revision 4.1 4.4 address spaces the gx1 processor can directly address either memory or i/o space. figure 4-2 illustrates the range of addresses available for memory address space and i/o address space. for the cpu, the addresses for physical memory range between 0000 0000h and ffff ffffh (4 gb). the accessible i/o address space ranges between 0000 0000h and 0000ffffh (64 kb). the cpu does not use coproces- sor communication space in upper i/o space between 800000f8h and 800000ffh as do the 386-style cpus. the i/o locations 22h and 23h are used for gx1 processor configuration register access. 4.4.1 i/o address space the cpu i/o address space is accessed using in and out instructions to addresses referred to as ?ports. ? the acces- sible i/o address space is 64 kb and can be accessed as 8-, 16- or 32-bit ports. the gx1 processor configuration registers reside within the i/o address space at port addresses 22h and 23h and are accessed using the standard in and out instructions. the configuration registers are modified by writing the index of the configuration register to port 22h, and then transferring the data through port 23h. accesses to the on- chip configuration registers do not generate external i/o cycles. however, each operation on port 23h must be pre- ceded by a write to port 22h with a valid index value. other- wise, subsequent port 23h operations will communicate through the i/o port to produce external i/o cycles without modifying the on-chip configur ation registers. write opera- tions to port 22h outside of the cpu index range (c0h-cfh and feh-ffh) result in extern al i/o cycles and do not affect the on-chip configuration registers. reading port 22h gen- erates external i/o cycles. i/o accesses to port address range 3b0h through 3dfh can be trapped to smi by the cpu if this option is enabled in the bc_xmap_1 register (see smib, smic, and smid bits in table 5-9 on page 105). figure 4-2 illustrates the i/o address space. 4.4.2 memory address space the processor directly addresses up to 4 gb of physical memory even though the memory controller addresses only 512 mb of dram. memory address space is accessed as byte, word (16 bits) or dwords (32 bits). word and dwords are stored in consecutive memory bytes with the low-order byte located in the lowest address. the physical address of a word or dword is the byte address of the low-order byte. the processor allows memory to be addressed using nine different addressing modes. these addressing modes are used to calculate an offset address, often referred to as an effective address. depending on the operating mode of the cpu, the offset is then combined, using memory manage- ment mechanisms, into a physical address that is applied to the physical memory devices. memory management mechanisms consist of segmenta- tion and paging. segmentation allows each program to use several independent, protected address spaces. paging translates a logical address into a physical address using translation lookup tables. virtual memory is often imple- mented using paging. either or both of these mechanisms can be used for management of the gx1 processor mem- ory address space. figure 4-2. memory and i/o address spaces physical memory space accessible programmed i/o space ffffffffh 0000ffffh 00000000h ffffffffh 00000000h physical memory 4 gb not accessible 64 kb cpu general configuration register i/o space 00000023h 00000022h
amd geode? gx1 processor data book 67 offset, segment, and paging mechanisms revision 4.1 4.5 offset, segment, and paging mechanisms the mapping of address spac e into a sequence of memory locations (often cached) is performed by the offset, seg- ment, and paging mechanisms. in general, the offset, segment and paging mechanisms work in tandem as shown below: instruction offset ? offset mechanism ? offset address offset address ? segment mechanism ? linear address linear address ? paging mechanism ? physical page. as will be explained, the actual operations depend on sev- eral factors such as the curr ent operating mode and if pag- ing is enabled. note: the paging mechanism uses part of the linear address as an offset on the physical page. 4.5.1 offset mechanism in all operating modes, the offset mechanism computes an offset (effective) address by adding together up to three values: a base, an index and a displacement. the base, if present, is the value in one of eight general registers at the time of the execution of the in struction. the in dex, like the base, is a value that is contained in one of the general reg- isters (except the esp register) when the instruction is exe- cuted. the index differs from the base in that the index is first multiplied by a scale factor of 1, 2, 4 or 8 before the summation is made. the third component added to the memory address calculation is the displacement which is a value supplied as part of the instruction. figure 4-3 illus- trates the calculation of the offset address. nine valid combinations of the base, index, scale factor and displacement can be used with the cpu instruction set. these combinations are listed in table 4-19. the base and index both refer to contents of a register as indicated by [base] and [index]. in real mode operation, the cpu only addresses the lowest 1 mb of memory and the offset contains 16-bits. in pro- tected mode the offset contains 32 bits. initialization and transition to protected mode are described in section 4.9.4 "initialization and transition to protected mode" on page 93. figure 4-3. offset address calculation index base displacement scaling x1, x2, x4, x8 offset address (effective address) + table 4-19. memory addressing modes addressing mode base index scale factor (sf) displacement (dp) offset address (oa) calculation direct x oa = dp register indirect x oa = [base] based x x oa = [base] + dp index x x oa = [index] + dp scaled index x x x oa = ([index] * sf) + dp based index x x oa = [base] + [index] based scaled index x x x oa = [base] + ([index] * sf) based index with displacement x x x oa = [base] + [index] + dp based scaled index with displacement x x x x oa = [base] + ([index] * sf) + dp
68 amd geode? gx1 processor data book offset, segment, and paging mechanisms revision 4.1 4.5.2 segment mechanisms memory is divided into contiguous regions called ?seg- ments.? the segments allow the partitioning of individual elements of a program. each segment provides a zero address-based private memory for such elements as code, data, and stack space. the segment mechanisms sele ct a segment in memory. memory is divided into an arbitrary number of segments, each containing usually much less than the 2 32 byte (4 gb) maximum. there are two segment mechanisms, one for real and vir- tual 8086 operating modes, and one for protected mode. 4.5.2.1 real mode segment mechanism in real mode operation, t he cpu addresses only the lowest 1 mb of memory. in this mode a selector located in one of the segment registers is us ed to locate a segment. to calculate a physical memory address, the 16-bit seg- ment base address located in the selected segment regis- ter is multiplied by 16 and then a 16-bit offset address is added. the resulting 20-bit address is then extended with twelve zeros in the upper address bits to create a 32-bit physical address. the value of the selector (the index field) is multiplied by 16 to produce a base address (see figure 4-4). the base address is summed with the instruction offset value to pro- duce a physical address. 4.5.2.2 virtual 8086 mode segment mechanism in virtual 8086 mode the operation is performed as in real mode except that a paging mechanism is added. when paging is enabled, the paging mechanism translates the linear address into a physical address using cached look- up tables (refer to section 4.5.4 "paging mechanism" on page 78). 4.5.2.3 segment mechan ism in protected mode the segment mechanism in pr otected mode is more com- plex. basically as in real and virtual 8086 modes the offset address is added to the segment base address to produce a linear address (figure 4-5). however, the calculation of the segment base address is based on the contents of descriptor tables. if paging is enabled the linear address is further processed by the paging mechanism. a more detailed look at the segment mechanisms for real and virtual 8086 modes and protected modes is illustrated in figure 4-6 on page 69. in protected mode, the segment selector is cached. this is illustrated in figure 4-7 on page 70. figure 4-4. real mode address calculation figure 4-5. protected mode address calculation offset mechanism selected segment register offset address 000h x 16 16 12 20 20 16 32 linear address (physical address) base address 12 high order address bits offset mechanism selector mechanism offset address 32 32 32 32 optional physical segment base address address paging mechanism linear address memory
amd geode? gx1 processor data book 69 offset, segment, and paging mechanisms revision 4.1 4.5.2.4 segment selectors the segment registers are used to store segment selec- tors. in protected mode, the segment selectors are divided in to three fields: the rpl, ti and index fields as shown in figure 4-6 on page 69. the segments are assigned permission levels to prevent application program errors from disrupting operating pro- grams. the requested privilege level (rpl) determines the effective privilege level of an instruction. rpl = 0 indicates the most privileged level, and rpl = 3 indicates the least privi- leged level. refer to section 4.9 "protection" on page 92. descriptor tables hold descriptors that allow management of segments and tables in address space while in protected mode. the table indicator bit (t i) in the selector selects either the general descriptor table (gdt) or one local descriptor table (ldt). if ti = 0, gdt is selected; if ti =1, ldt is selected. the 13-bit index field in the segment selector is used to index a gdt or ldt. figure 4-6. selector mechanisms 15 3 2 1 0 index ti instruction offset segment selector segment descriptor base gdt or ldt descriptor table main memory segment p rpl + linear address address physical address 15 0 index instruction offset logical address base main memory segment p + linear address address physical address x 16 p = paging mechanism for virtual 8086 mode only address logical segment selector logical address 8 real and virtual 8086 modes protected mode p = paging mechanism
70 amd geode? gx1 processor data book offset, segment, and paging mechanisms revision 4.1 figure 4-7. selector mechanism caching index ti rpl selector load instruction 15 0 selector in segment register segment descriptor segment descriptor global descriptor ta bl e local descriptor ta bl e ti = 0 ti = 1 cached segment segment segment segment register selected by decoded instruction caching cached and descriptor selector used if available base address
amd geode? gx1 processor data book 71 offset, segment, and paging mechanisms revision 4.1 4.5.3 descriptors 4.5.3.1 global and local d escriptor table registers the gdt and ldt descriptor tables are defined by the glo- bal descriptor table register (gdtr) and the local descriptor table register (ldtr), respectively. some texts refer to these registers as gdt and ldt descriptors. the following instructions are used in conjunction with the gdtr and ldtr:  lgdt - load memory to gdtr  lldt - load memory to ldtr  sgdt - store gdtr to memory  sldt - store ldtr to memory the gdtr is set up in real mode using the lgdt instruc- tion. this is possible as the lgdt instruction is one of two instructions that directly l oad a linear address (instead of a segment relative address) in protected mode. (the other instruction is the load interrupt descriptor table [lidt]). as shown in table 4-20, the gdtr contains a base field and a limit field that defines the gdt. the interrupt descriptor table register (idtr) is described in section 4.5.3.3 "task, gate, interrupt, and application and system descriptors" on page 72. also shown in table 4-20, the ldtr is only two bytes wide as it contains only a selector field. the contents of the selector field point to a descriptor in the gdt. 4.5.3.2 segment descriptors there are several types of descriptors. a segment descrip- tor defines the base address, limit, and attributes of a memory segment. the gdt or ldt can hold several types of descriptors. in particular, the segment descriptors are stored in either of two tables. either of these tables can store as many as 8,192 (2 13 ) 8-byte selectors taking as much as 64 kb of memory. the first descriptor in the gdt (location 0) is not used by the cpu and is referred to as the ?null descriptor.? types of segment descriptors the type of memory segments are defined by correspond- ing types of segment descriptors:  code segment descriptors  data segment descriptors  stack segment descriptors  ldt segment descriptors table 4-20. gdt, ldt and idt registers 47 1615141312111098765432 10 gdt register global descriptor table register base limit idt register interrupt descriptor table register base limit ldt register local descriptor table register selector
72 amd geode? gx1 processor data book offset, segment, and paging mechanisms revision 4.1 4.5.3.3 task, gate, interrupt, and application and system descriptors besides segment descriptors there are descriptors used in task switching, switching betw een tasks with different prior- ity and those used to control interrupt functions:  interrupt descriptors  application and system segment descriptors  gate descriptors  task state segment descriptors all descriptors have some things in common. they are all eight bytes in length and ha ve three fields (base, limit, and type). the base field define s the starting location for the table or segment. the limi t field defines the size and the type field depends on the type of descriptor. one of the main functions of the type field is to define the access rights to the associated segment or table. interrupt descriptors the interrupt descriptor table (idt) is an array of 256 8- byte (4-byte for real mode) interrupt descriptors, each of which is used to point to an interrupt service routine. every interrupt that may occur in the system must have an asso- ciated entry in the idt. the contents of the idtr are com- pletely visible to the programmer through the use of the sidt instruction. the idt is defined by the interrupt descriptor table regis- ter (idtr). some texts refer to this register as an idt descriptor. the following instructions are used in conjunction with the idtr:  lidt - load memory to idtr  sidt - store idtr to memory the idtr is set up in real mode using the lidt instruction. this is possible as the lidt instruction is only one of two instructions that directly load a linear address (instead of a segment relative address) in protected mode (the other instructions is lgdt). as previously shown in table 4-20 on page 71, the idtr contains a base address fiel d and a limit field that define the idt. application and system segment descriptors the bit structure and bit definitions for segment descriptors are shown in table 4-21 and table 4-22 on page 73, respectively. the explanation of the type field is shown in table 4-23 on page 74. table 4-21. application and system segment descriptors 31312928272625242322212019181716151413121110987654321 0 memory offset +4 base[31:24] g d 0 a v l limit[19:16] p dpl s type base[23:16] memory offset +0 base[15:0] limit[15:0]
amd geode? gx1 processor data book 73 offset, segment, and paging mechanisms revision 4.1 table 4-22. descriptors bit definitions bit memory offset name description 31:24 +4 base segment base address: three fields which collectively defi ne the base location for the segment in 4 gb physical address space. 7:0 +4 31:16 +0 19:16 +4 limit segment limit: two fields that define the size of t he segment based on the segment limit granularity bit. if g = 1: limit value interpreted in units of 4 kb. if g = 0: limit value is interpreted in bytes. 15:0 +0 23 +4 g segment limit granularity bit: defines limit multiplier. if g = 1: limit value interpreted in units of 4 kb. segment size ranges from 4 kb to 4 gb. if g = 0: limit value is interpreted in bytes. segment size ranges from 1 byte to 1 mb. 22 +4 d default length for operands and effective addresses: if d = 1: code segment = 32-bit length for operands and effective addresses. if d = 0: code segment = 16-bit length for operands and effective addresses. if d = 1: data segment = pushes, calls and pop instructions use 32-bit esp register. if d = 0: data segment = stack operations use 16-bit sp register. 20 +4 avl segment available: this field is available for use by system software. 15 +4 p segment present: if = 1: segment is memory segment allocated. if = 0: the base and limit fields become available for use by the system. also, if = 0, a segment- not-present exception is generated when the selector for the descriptor is loaded into a segment reg- ister allowing virtual memory management. 14:13 +4 dpl descriptor privilege level: if = 00: highest privilege level if = 11: lowest privilege level 12 +4 s descriptor type: if = 1: code or data segment if = 0: system segment 11:8 +4 type segment type: refer to table 4-23 on page 74 for type bit definitions. bit 11 = executable bit 10 = conforming if bit 12 = 1 bit 10 = expand down if bit 12 = 0 bit 9 = readable, if bit 12 = 1 bit 9 = writable, if bit 12 = 0 bit 8 = accessed
74 amd geode? gx1 processor data book offset, segment, and paging mechanisms revision 4.1 table 4-23. application and system se gment descriptors type bit definitions type bits [11:8] system segment and gate types bit 12 = 0 application segment types bit 12 = 1 num sewa type (data segments) 0 0000 reserved data read-only 1 0001 available 16-bit tss data read-only, accessed 2 0010 ldt data read/write 3 0011 busy 16-bit tss data read/write accessed 4 0100 16-bit call gate data read-only, expand down 5 0101 task gate data read-only, expand down, accessed 6 0110 16-bit interrupt gate data read/write, expand down 7 0111 16-bit trap gate data read/write, expand down, accessed num scra type (code segments) 8 1000 reserved code execute-only 9 1001 available 32-bit tss code execute-only, accessed a 1010 reserved code execute/read b 1011 busy 32-bit tss code execute/read, accessed c 1100 32-bit call gate code execute/read, conforming d 1101 reserved code execute/read, conforming, accessed e 1110 32-bit interrupt gate code execute/read-only, conforming f 1111 32-bit trap gate code execute/read-only, conforming accessed sewa/scra:s = code segment (not data segment) e = expand down w = write enable a = accessed c = conforming code segment r = read enable
amd geode? gx1 processor data book 75 offset, segment, and paging mechanisms revision 4.1 gate descriptors four kinds of gate descriptors are used to provide protec- tion during control transfers:  call gates  trap gates  interrupt gates  task gates (for more information on protection refer to section 4.9 "protection" on page 92.) call gate descriptor (cgd). call gates are used to define legal entry points to a procedure with a higher privilege level. the call gates are used by call and jump instruc- tions in much the same manner as code segment descrip- tors. when a decoded instruction refers to a call gate descriptor in the gdt or ldt, the call gate is used to point to another descriptor in the table that defines the destina- tion code segment. the following privilege levels are tested during the transfer through the call gate:  cpl = current privilege level  rpl = segment selector field  dpl = descriptor privilege level in the call gate descriptor  dpl = descriptor privilege level in the destination code segment the maximum value of the cpl and rpl must be equal or less than the gate dpl. for a jmp instruction the destina- tion dpl equals the cpl. for a call instruction the desti- nation dpl is less than or equal to the cpl. conforming code segments. transfer to a procedure with a higher privilege level can also be accomplished by bypassing the use of call gates, if the requested procedure is to be executed in a conforming code segment. conform- ing code segments have the c bit set in the type field in their descriptor. the bit structure and definitions for gate descriptors are shown in tables 4-24 and 4-25. table 4-24. gate descriptors 313029282726252423222120191817161514131211109876543210 memory offset +4 offset[31:16] p dpl 0 type 0 0 0 parameters memory offset +0 selector[15:0] offset[15:0] table 4-25. gate descriptors bit definitions bit memory offset name description 31:16 +4 offset offset: offset used during a call gate to calculate the branch target. 15:0 +0 31:16 +0 selector segment selector 15 +4 p segment present 14:13 +4 dpl descriptor privilege level 11:8 +4 type segment type: 0100 = 16-bit call gate 1100 = 32-bit call gate 0101 = task gate 1110 = 32-bit interrupt gate 0110 = 16-bit interrupt gate 1111 = 32-bit trap gate 0111 = 16-bit trap gate 4:0 +4 parameters parameters: number of parameters to copy from the caller?s stack to the called procedure?s stack.
76 amd geode? gx1 processor data book offset, segment, and paging mechanisms revision 4.1 task state segments descriptors the cpu enables rapid task switching using jmp and call instructions that refer to task state segment (tss) descriptors. during a switch, the complete task state of the current task is stored in its tss, and the task state of the requested task is loaded from its tss. the tsss are defined through special segment descriptors and gates. the task register (tr) holds 16-bit descriptors that con- tain the base address and segmen t limit for each task state segment. the tr is loaded and stored via the ltr and str instructions, respectively. the tr can be accessed only during protected mode and can be loaded when the privilege level is 0 (most privileged). when the tr is loaded, the tr selector field indexes a tss descriptor that must reside in the global descriptor table (gdt). only the 16-bit selector of a tss descriptor in the tr is accessible. the base, tss limit and access right fields are program invisible. during task switching, the processor saves the current cpu state in the tss before st arting a new task. the tss can be either a 386/486-type 32-bit tss (see table 4-26) or a 286-type 16-bit tss (see table 4-27). task gate descriptors . a task gate descriptor provides controlled access to the descriptor for a task switch. the dpl of the task gate is used to control access. the selec- tor?s rpl and the cpl of the procedure must be a higher level (numerically less) than the dpl of the descriptor. the rpl in the task gate is not used. the i/o map base address field in the 32-bit tss points to an i/o permission bit map that often follows the tss at location +68h. table 4-26. 32-bit task state segment (tss) table 1 31 16 15 0 i/o map base address 000000000000000t +64h 0000000000000000 selector for task?s ldt +60h 0000000000000000 gs +5ch 0000000000000000 fs +58h 0000000000000000 ds +54h 0000000000000000 ss +50h 0000000000000000 cs +4ch 0000000000000000 es +48h edi +44h esi +40h ebp +3ch esp +38h ebx +34h edx +30h ecx +2ch eax +28h eflags +24h eip +20h cr3 +1ch 0000000000000000 ss for cpl = 2 +18h esp for cpl = 2 +14h 0000000000000000 ss for cpl = 1 +10h esp for cpl = 1 +ch 0000000000000000 ss for cpl = 0 +8h esp for cpl = 0 +4h 0000000000000000 back link (old tss selector) +0h 1. 0 = reserved
amd geode? gx1 processor data book 77 offset, segment, and paging mechanisms revision 4.1 table 4-27. 16-bit task state segment (tss) table 150 selector for task?s ldt +2ah ds +28h ss +26h cs +24h es +22h di +20h si +1eh bp +1ch sp +1ah bx +18h dx +16h cx +14h ax +12h flags +10h ip +eh ss for privilege level 0 +ch sp for privilege level 1 +ah ss for privilege level 1 +8h sp for privilege level 1 +6h ss for privilege level 0 +4h sp for privilege level 0 +2h back link (old tss selector) +0h
78 amd geode? gx1 processor data book offset, segment, and paging mechanisms revision 4.1 4.5.4 paging mechanism the paging mechanism translates a linear address to its corresponding physical address. if the required page is not currently present in ram, an exception is generated. when the operating system services the except ion, the required page can be loaded into memory and the instruction restarted. pages are either 4 kb or 1 mb in size. the cpu defaults to 4 kb pages that are aligned to 4 kb boundaries. a page is addressed by using two levels of tables as illus- trated in figure 4-8. bits [31:22] of the 32-bit linear address, the directory table index (dti), are used to locate an entry in the page directory table. the page directory table acts as a 32-bit master index to up to 1 kb individual second-level page tables. the selected entry in the page directory table, referred to as the directory table entry (dte), identifies the starting address of the second-level page table. the page directory table itself is a page and is therefore aligned to a 4 kb boundary. the physical address of the current page directory ta ble is stored in the cr3 con- trol register, also referred to as the page directory base register (pdbr). bits [21:12] of the 32-bit linear address, referred to as the page table index (pti), locate a 32-bit entry in the second- level page table. this page table entry (pte) contains the base address of the desired page frame. the second-level page table addresses up to 1k individual page frames. a second-level page table is 4 kb in size and is itself a page. bits [11:0] of the 32-bit linear address, the page frame off- set (pfo), locate the desired physical data within the page frame. since the page directory table can point to 1 kb page tables, and each page table can point to 1 kb page frames, a total of 1 mb page frames can be implemented. each page frame contains 4 kb, therefore, up to 4 gb of virtual memory can be addressed by the cpu with a single page directory table. figure 4-8. paging mechanism directory table index (dti) page table index (pti) page frame offset (pfo) 31 22 21 12 11 0 linear address dte cache 2-entry fully associative main tlb 32-entry 4-way set associative dte 0 4 kb pte 0 4 kb physical page 4 gb -4 kb -0 0 external memory directory table page table memory cr3 control register 1 0 31 0
amd geode? gx1 processor data book 79 offset, segment, and paging mechanisms revision 4.1 along with the base address of the page table or the page frame, each dte or pte contains attribute bits and a present bit as illustrated in table 4-28. if the present bit (p) is set in the dte, the page table is present and the appropriate page table entry is read. if p = 1 in the corresponding pte (ind icating that the page is in memory), the accessed and dirt y bits are updated, if nec- essary, and the operand is fetched. both accessed bits are set (dte and pte), if necessary, to indicate that the table and the page have been used to translate a linear address. the dirty bit (d) is set before the first write is made to a page. the present bits must be set to validate the remaining bits in the dte and pte. if either of the present bits are not set, a page fault is generated when the dte or pte is accessed. if p = 0, the remaining dte/pte bits are avail- able for use by the operating system. for example, the operating system can use these bits to record where on the hard disk the pages are located. a page fault is also gener- ated if the memory reference violates the page protection attributes. translation look-aside buffer the translation look-aside buffer (tlb) is a cache for the paging mechanism and replaces the two-level page table lookup procedure for tlb hits. the tlb is a four-way set associative 32-entry page table cache that automatically keeps the most commonly used page table entries in the processor. the 32-entry tlb, coupled with a 4 kb page size, results in coverage of 128 kb of memory addresses. the tlb must be flushed when entries in the page tables are changed. the tlb is flushed whenever the cr3 regis- ter is loaded. an individual entry in the tlb can be flushed using the invlpg instruction. dte cache the dte cache caches the two most recent dtes so that future tlb misses only require a single page table read to calculate the physical address. the dte cache is disabled following reset and can be enabled by setting the dte_en bit in ccr4[4] (see ccr4 register on page 56). table 4-28. directory table entry (dte) and page table entry (pte) bit name description 31:12 base address base address: specifies the base address of the page or page table. 11:9 available available: undefined and available to the programmer. 8:7 rsvd reserved: unavailable to programmer. 6d dirty bit: pte format: if = 1: indicates that a write access has occurred to the page. dte format: reserved. 5a accessed flag: if set, indicates that a read access or write access has occurred to the page. 4:3 rsvd reserved: set to 0. 2u/s user/supervisor attribute : if = 1: page is accessible by user at privilege level 3. if = 0: page is accessible by supervisor only when cpl 2. 1w/r write/read attribute: if = 1: page is writable. if = 0: page is read only. 0p present flag: if = 1: the page is present in ram and the remaining dte/pte bits are validated if = 0: the page is not present in ram and the remain ing dte/pte bits are available for use by the pro- grammer.
80 amd geode? gx1 processor data book interrupts and exceptions revision 4.1 4.6 interrupts and exceptions the processing of either an interrupt or an exception changes the normal sequential flow of a program by trans- ferring program control to a selected service routine. except for smm interrupts, the location of the selected ser- vice routine is determined by one of the interrupt vectors stored in the interrupt descriptor table. true interrupts are hardware interrupts and are generated by signal sources external to the cpu. all exceptions (includ- ing so-called software interrupts) are produced internally by the cpu. 4.6.1 interrupts external events can interrupt normal program execution by using one of the three interrupt pins on the gx1 processor:  non-maskable interrupt (no pin, see note)  maskable interrupt (intr pin)  smm interrupt (smi# pin) note: there is not an nmi pin on the gx1 processor. generation of an nmi interrupt is not possible. however, software can generate an nmi by setting bit 2 of ccr7. (see the ccr7 register on page 56.) for most interrupts, program transfer to the interrupt rou- tine occurs after the current instruction has been com- pleted. when the execution returns to the original program, it begins immediately following the interrupted instruction. the nmi interrupt cannot be masked by software and always uses interrupt vector two to locate its service rou- tine. since the interrupt vector is fixed and is supplied inter- nally, no interrupt acknowle dge bus cycles are performed. this interrupt is normally reserved for unusual situations such as parity errors and has priority over intr interrupts. once nmi processing has start ed, no additional nmis are processed until an iret instruction is executed, typically at the end of the nmi service routine. if the nmi is re-asserted before execution of the iret instruction, one and only one nmi rising edge is stored and then processed after execu- tion of the next iret. during the nmi service routine, maskable interrupts may be enabled. if an unmasked intr occurs during the nmi service routine, the intr is serviced and execution returns to the nmi service routine following the next iret. if a halt instruction is executed within the nmi service routine, the cpu restarts execution only in response to reset, an unmasked intr or a system management mode (smm) interrupt. nmi does not restart cpu execution under this condition. the intr interrupt is unmasked when the interrupt enable flag (if, bit 9) in the eflags register is set to 1 (see the eflags register in table 4-4 on page 49). except for string operations, intr interrupts are acknowledged between instructions. long stri ng operations have interrupt windows between memory moves that allow intr inter- rupts to be acknowledged. when an intr interrupt occurs, the cpu performs an inter- rupt-acknowledge bus cycle. during this cycle, the cpu reads an 8-bit vector that is supplied by an external inter- rupt controller. this vector selects which of the 256 possi- ble interrupt handlers will be executed in response to the interrupt. the smm interrupt has higher priority than either intr or nmi. after smi# is asserted, program execution is passed to an smm service routine that runs in smm address space reserved for this purpose. the remainder of this section does not apply to the smm interrupts. smm interrupts are described in greater detail later in section 4.7 "system management mode" on page 84. 4.6.2 exceptions exceptions are generated by an interrupt instruction or a program error. exceptions are classified as traps, faults or aborts depending on the mechanism used to report them and the restartability of the instruction which first caused the exception. a trap exception is reported immediately following the instruction that generated t he trap exception. trap excep- tions are generated by execution of a software interrupt instruction (into, int3, intn, bound), by a single-step operation or by a data breakpoint. software interrupts can be used to simulate hardware inter- rupts. for example, an intn instruction causes the proces- sor to execute the interrupt service routine pointed to by the nth vector in the interrupt table. execution of the interrupt service routine occurs regardless of the state of the if flag (bit 9) in the eflags register. the one byte int3, or breakpoint interrupt (vector 3), is a particular case of the intn instruction. by inserting this one byte instruction in a program, the user can set breakpoints in the code that can be used during debug. single-step operation is enabled by setting the tf bit (bit 8) in the eflags register. when the tf is set, the cpu gen- erates a debug exception (vector 1) after the execution of every instruction. data breakpoints also generate a debug exception and are specified by loading the debug registers (dr0-dr3, see table 4-12 on page 58) with the appropri- ate values. a fault exception is reported before completion of the instruction that g enerated the exception. by reporting the fault before instruction completion, the cpu is left in a state that allows the instruction to be restarted and the effects of the faulting instruction to be nullified. fault exceptions include divide-by-zero errors, invalid opcodes, page faults and coprocessor errors. debug exceptions (vector 1) are also handled as faults (except for data breakpoints and sin- gle-step operations). after execution of the fault service routine, the instruction pointer points to the instruction that caused the fault.
amd geode? gx1 processor data book 81 interrupts and exceptions revision 4.1 an abort exception is a type of fault exception that is severe enough that the cpu cannot restart the program at the faulting instruction. the double fault (vector 8) is the only abort exception that occurs on the cpu. 4.6.3 interrupt vectors when the cpu services an interrupt or exception, the cur- rent program?s instruction pointer and flags are pushed onto the stack to allow resumption of execution of the inter- rupted program. in protec ted mode, the processor also saves an error code for some exceptions. program control is then transferred to the interrupt handler (also called the interrupt service routine). upon execution of an iret at the end of the service routine, program execution resumes at the instruction pointer address saved on the stack when the interrupt was serviced. 4.6.3.1 interrupt vector assignments each interrupt (except smi#) and exception are assigned one of 256 interrupt vector numbers as shown in table 4- 29. the first 32 interrupt vector assignments are defined or reserved. int instructions acting as software interrupts may use any of interrupt vectors, 0 through 255. the non-maskable hardware interrupt (nmi) is assigned vector 2. illegal opcodes including faulty fpu instructions will cause an illegal opcode exception, interrupt vector 6. nmi interrupts are enabled by setting bit 2 of the ccr7 register (index ebh[2] = 1, see table 4-11 on page 55 for register format). in response to a maskable hardware interrupt (intr), the cpu issues interrupt acknowledge bus cycles used to read the vector number from external hardware. these vectors should be in the range 32 to 255 as vectors 0 to 31 are pre- defined. 4.6.3.2 interrupt descriptor table the interrupt vector number is used by the cpu to locate an entry in the interrupt descriptor table (idt). in real mode, each idt entry consists of a 4-byte far pointer to the beginning of the corresponding interrupt service routine. in protected mode, each idt entry is an 8-byte descriptor. the interrupt descriptor table register (idtr) specifies the beginning address and limit of the idt. following reset, the idtr contains a base address of 00000000h with a limit of 3ffh. the idt can be located anywhere in physical memory as determined by the idtr regist er. the idt may contain dif- ferent types of descriptors: interrupt gates, trap gates and task gates. interrupt gates are used primarily to enter a hardware interrupt handler. trap gates are generally used to enter an exception handler or software interrupt handler. if an interrupt gate is used, the interrupt enable flag (if) in the eflags register is cleared before the interrupt handler is entered. task gates are used to make the transition to a new task. table 4-29. interrupt vector assignments interrupt vector function exception type 0 divide error fault 1 debug exception trap/fault 1 2 nmi interrupt --- 3 breakpoint trap 4 interrupt on overflow trap 5 bound range exceeded fault 6 invalid opcode fault 7 device not available fault 8 double fault abort 9 reserved --- 10 invalid tss fault 11 segment not present fault 12 stack fault fault 13 general protection fault trap/fault 14 page fault fault 15 reserved --- 16 fpu error fault 17 alignment check exception fault 18:31 reserved --- 32:55 maskable hardware inter- rupts tr a p 0:255 programmed interrupt trap 1. data breakpoints and single steps are traps. all other debug exceptions are faults.
82 amd geode? gx1 processor data book interrupts and exceptions revision 4.1 4.6.4 interrupt and exception priorities as the cpu executes instructions, it follows a consistent policy for prioritizing exceptions and hardware interrupts. the priorities for competing interrupts and exceptions are listed in table 4-30. smm interrupts always take prece- dence. debug traps for the previous instruction and next instructions are handled as the next priority. when nmi and maskable intr interrupts are both detected at the same instruction boundary, the gx1 processor services the nmi interrupt first. the cpu checks for exceptions in parallel with instruction decoding and execution. several exceptions can result from a single instruction. however, only one exception is generated upon each attempt to execute the instruction. each exception service routine should make the appropri- ate corrections to the instruction and then restart the instruction. in this way, exceptions can be serviced until the instruction executes properly. the cpu supports instruction restart after all faults, except when an instruction causes a task switch to a task whose task state segment (tss) is partially not present. a tss can be partially not present if the tss is not page aligned and one of the pages where the tss resides is not cur- rently in memory. table 4-30. interrupt and exception priorities priority description notes 0 reset. caused by the assertion of reset. 1 smm hardware interrupt. smm interrupts are caused by smi# asserted and always have highest priority. 2 debug traps and faults from previous instruction. in cludes single-step trap and data breakpoints spec- ified in the debug registers. 3 debug traps for next instruction. includes instruction execution breakpoints specified in the debug registers. 4 non-maskable hardware interrupt. caused by nmi asserted. 5 maskable hardware interrupt. caused by intr asserted and if = 1. 6 faults resulting from fetching the next instructio n. includes segment not present, general protection fault and page fault. 7 faults resulting from instruction decoding. includes illegal opcode, instruction too long, or privi- lege violation. 8 wait instruction and ts = 1 and mp = 1. device not available exception generated. 9 esc instruction and em = 1 or ts = 1. device not available exception generated. 10 floating point error exception. caused by unmasked floating point exception with ne = 1. 11 segmentation faults (for each memory reference required by the instruction) that prevent transferring the entire memory operand. includes segment not present, stack fault, and gen- eral protection fault. 12 page faults that prevent transferring the entire memory operand. 13 alignment check fault.
amd geode? gx1 processor data book 83 interrupts and exceptions revision 4.1 4.6.5 exceptions in real mode many of the exceptions described in table 4-29 "interrupt vector assignments" on page 81 are not applicable in real mode. exceptions 10, 11, and 14 do not occur in real mode. other exceptions have slightly different meanings in real mode as listed in table 4-31. 4.6.6 error codes when operating in protected mode, the following exceptions generate a 16-bit error code:  double fault  alignment check  invalid tss  segment not present  stack fault  general protection fault  page fault the error code format and bit definitions are shown in table 4-32. bits [15:3] (selector inde x) are not meaningful if the error code was generated as the result of a page fault. the error code is always zero for double faults and alignment check exceptions. table 4-31. exception changes in real mode vector number protected mode function real mode function 8 double fault. interrupt table limit overrun. 10 invalid tss. does not occur. 11 segment not present. does not occur. 12 stack fault. ss segment limit overrun. 13 general protection fault. cs, ds, es, fs, gs segment limit over- run. in protected mode, an error code is pushed. in real mode, no error code is pushed. 14 page fault. does not occur. table 4-32. error codes 1514131211109876543 2 1 0 selector index s2s1s0 table 4-33. error code bit definitions fault type selector index (bits 15:3) s2 (bit 2) s1 (bit 1) s0 (bit 0) page fault reserved. fault caused by: 0 = not present page 1 = page-level protection violation fault occurred during: 0 = read access 1 = write access fault occurred during: 0 = supervisor access 1 = user access. idt fault index of faulty idt selector. reserved 1 if = 1: exception occurred while trying to invoke exception or hardware interrupt handler. segment fault index of faulty selector. ti bit of faulty selector 0 if =1: exception occurred while trying to invoke exception or hardware interrupt handler.
84 amd geode? gx1 processor data book system management mode revision 4.1 4.7 system management mode system management mode (smm) is an enhancement of the standard x86 architecture. smm is usually employed for system power management or software-transparent emula- tion of i/o peripherals. smm is entered through a hardware signal ?system management interrupt? (smi# pin) that has a higher priority than any other interrupt, including nmi. an smm interrupt can also be triggered from software using an smint instruction. following an smm interrupt, portions of the cpu state are automati cally saved, smm is entered, and program execution begins at the base of smm address space (figure 4-9). the gx1 processor extends system management mode to support the virtualization of many devices, including vga video. the smm mechanism can be triggered by i/o activ- ity and also by access to selected memory regions. for example, smm interrupts are generated when vga addresses are accessed. as will be described, other smm enhancements have reduced smm overhead and improved virtualization-software performance figure 4-9. system management memory address space ffffffffh 00000000h non-smm smm potential smm address space physical memory space ffffffffh 00000000h 4 kb to 32 mb physical memory 4 gb defined smm address space
amd geode? gx1 processor data book 85 system management mode revision 4.1 4.7.1 smm operation smm execution flow is summarized in figure 4-10. entering smm requires the assertion of the smi# pin for at least two sysclk periods or execution of the smint instruction. for the smi# signal or smint instruction to be recognized, the following configuration registers must be programmed:  smar (index cdh-cfh) - the smm base address and size.  ccr1 (index c1) - smac bit and/or use_smi bit. these register formats are gi ven in table 4-11 on page 55. after triggering an smm through the smi# pin or a smint instruction, selected cpu stat e information is automatically saved in the smm memory space header located at the top of smm memory space. after saving the header, the cpu enters real mode and begins executing the smm service routine starting at the smm memory region base address. the smm service routine is user definable and may contain system or power management software. if the power man- agement software forces the cpu to power down or if the smm service routine modifies more registers than are automatically saved, the comp lete cpu state information should be saved. 4.7.2 smi# pin external chipsets can generate an smi based on numer- ous asynchronous events, including power management timers, i/o address trapping, external devices, audio fifo events, and others. since smi# is edge sensitive, the chipset must generate an edge for each of the events above, requiring arbitration an d storage of multiple smm events. these functions are provided by the geode cs5530a companion device. the processor generates an smi when the external pin changes from high-to-low or when an resume (rsm) occurs if smi# has not remained low since the initiation of the previous smi. figure 4-10. smm execution flow smi# sampled active or smint instruction executed cpu state stored in smm address space header program flow transfers to smm address space cpu enters real mode execution begins at smm address space base address rsm instruction restores cpu state using header information normal execution resumes
86 amd geode? gx1 processor data book system management mode revision 4.1 4.7.3 smm configuration registers the smar register specifies the base location of smm code region and its size limit. the smhr register specifies the 32-bit physical address of the smm header. the smhr address must be 32-bit aligned as the bottom two bits are ignored by the micro- code. hardware will detect write operations to smar, and signal the microcode to recompute the header address. access to the smar and smhr registers is enabled by mapen (index c3h[4] see bit details on page 55). the smar register writes to the smhr register when the smar register is changed. for this reason, changes to the smar register should be completed prior to setting up the smhr register. the configurat ion registers bit formats are detailed in table 4-11 beginning on page 55. 4.7.4 smm memory space header tables 4-34 and 4-35 show the smm header. a memory address field has been added to the end (offset ?40h) of the header for the gx1 processor. memory data will be stored overlapping the i/o data, since these events cannot occur simultaneously. the i/o address is valid for both in and out instructions, and i/o data is valid only for out. the memory address is valid for read and write operations, and memory data is valid only for write operations. with every smi interrupt or smint instruction, selected cpu state information is automatically saved in the smm memory space header located at the top of smm address space. the header contains cp u state information that is modified when servicing an smm interrupt. included in this information are two pointers. the current ip points to the instruction executing when the smi was detected, but it is valid only for an internal i/o smi. the next ip points to the in struction that will be executed after exiting smm. the cont ents of debug register 7 (dr7), the extended flags register (eflags), and control register 0 (cr0) are also saved. if smm has been entered due to an i/o trap for a rep insx or rep outsx instruc- tion, the current ip and next ip fields contain the same addresses. in addition, the i and p fields contain valid infor- mation. if entry into smm is the result of an i/o trap, it is useful for the programmer to know the port address, data size and data value associated with that i/o operation. this informa- tion is also saved in the header and is valid only if smi# is asserted during an i/o bus cycle. the i/o trap information is not restored within the cpu when executing a rsm instruction. table 4-34. smm memory space header mem. offset 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 ?04h dr7 ?08h eflags ?0ch cr0 ?10h current ip ?14h next ip ?18h rsvd cs selector ?1ch cs descriptor [63:32] ?20h cs descriptor [31:0] ?24h rsvd rsvd n v x m h s p i c ?28h i/o data size i/o address [15:0] ?2ch i/o or memory data [31:0] 1 ?30h restored esi or edi ?34h i/o or memory address [31:0] 1. check the m bit at offset 24h to determine if the data is memory or i/o.
amd geode? gx1 processor data book 87 system management mode revision 4.1 table 4-35. smm memory space header description name description size dr7 debug register 7 : the contents of debug register 7. 4 bytes eflags extended flags register : the contents of extended flags register. 4 bytes cr0 control register 0 : the contents of control register 0. 4 bytes current ip current instruction pointer : the address of the instruction executed prior to servicing smm interrupt. 4 bytes next ip next instruction pointer : the address of the next instruction that will be executed after exiting smm. 4 bytes cs selector code segment selector : code segment register selector for the current code segment. 2 bytes cs descriptor code segment descriptor : encoded descriptor bits for the current code segment. 8 bytes n nested smi status : flag that determines whether an smi oc curred during smm (i.e., nested). 1 bit v softvga smi status : smi was generated by an access to vga region. 1 bit x external smi status: if = 1: smi generated by external smi# pin. if = 0: smi internally generated by internal bus interface unit. 1 bit m memory or i/o access : 0 = i/o access; 1 = memory access. 1 bit h halt status : indicates that the processor was in a halt or shutdown prior to servicing the smm interrupt. 1 bit s software smm entry indicator: if = 1: current smm is the result of an smint instruction. if = 0: current smm is not the result of an smint instruction. 1 bit p rep insx/outsx indicator: 1 if = 1: current instruction has a rep prefix. if = 0: current instruction does not have a rep prefix. 1 bit i in, insx, out, or outsx indicator: 1 if = 1: current instruction performed is an i/o write. if = 0: current instruction performed is an i/o read. 1 bit c cs writable: code segment writable if = 1: cs is writable. if = 0: cs is not writable. 1 bit i/o data size indicates size of data for the trapped i/o cycle: 01h = byte 03h = word 0fh = dword 2 bytes i/o address processor port used for the trapped i/o cycle 2 bytes i/o or memory data data associated with the trapped i/o or memory cycles 4 bytes restored esi or edi restored esi or edi value : used when it is necessary to repeat a rep outsx or rep insx instruction when one of the i/o cycles caused an smi# trap. 1 4 bytes memory address physical address of the operation that caused the smi 4 bytes 1. insx = ins, insb, insw or insd instruction. outsx = outs, outsb, outsw and outsd instruction.
88 amd geode? gx1 processor data book system management mode revision 4.1 4.7.5 smm instructions the gx1 processor core auto matically saves a minimal amount of cpu state information when entering smm which allows fast smm service rout ine entry and exit. after enter- ing the smm service routine, the mov, svdc, svldt and svts instructions can be used to save the complete cpu state information. if the smm service routine modifies more state information than is automatically saved or if it forces the cpu to power down, the complete cpu state informa- tion must be saved. since the cpu is a static device, its internal state is retained when the input clock is stopped. therefore, an entire cpu-state save is not necessary before stopping the input clock. the smm instructions, listed in table 4-36, can be exe- cuted only if all the conditions listed below are met. 1) use_smi = 1. 2) smar size > 0. 3) current privilege level = 0. 4) smac bit is high or the cpu is in an smm service rou- tine. if any one of the conditions above is not met and an attempt is made to execute an svdc, rsdc, svldt, rsldt, svts, rsts, or rsm instruction, an invalid opcode exception is generated. the smm instructions can be executed outside of defined smm space provided the con- ditions above are met. the smint instruction can be used by software to enter smm. the smint instruction can only be used outside an smm routine if all the conditions listed below are true. 1) use_smi = 1 2) smar size > 0 3) current privilege level = 0 4) smac = 1 if smi# is asserted to the cp u during a software smi, the hardware smi# is serviced after the software smi has been exited by execution of the rsm instruction. all the smm instructions (exc ept rsm and smint) save or restore 80 bits of data, allowin g the saved values to include the hidden portion of the register contents. table 4-36. smm instruction set instruction opcode format 1 description svdc 0f 78h [mod sreg3 r/m] svdc mem80, sreg 3 save segment register and descriptor: saves reg (ds, es, fs, gs, or ss) to mem80. rsdc 0f 79h [mod sreg3 r/m] rsdc sreg3, mem80 restore segment register and descriptor : restores reg (ds, es, fs, gs, or ss) from mem80. use rsm to restore cs. processing ?rsdc cs, mem80? will produce an exception. svldt 0f 7ah [mod 000 r/m] svldt mem80 save ldtr and descriptor: saves local descriptor table (ldtr) to mem80. rsldt 0f 7bh [mod 000 r/m] rsldt mem80 restore ldtr and descriptor: restores local descriptor table (ldtr) from mem80. svts 0f 7ch [mod 000 r/m] svts mem80 save tsr and descriptor: saves task state register (tsr) to mem80. rsts 0f 7dh [mod 000 r/m] rsts mem80 restore tsr and descriptor: restores task state register (tsr) from mem80. smint 0f 38h smint software smm entry : cpu enters smm. cpu stat e information is saved in smm memory space header and execution begins at smm base address. rsm 0f aah rsm resume normal mode : exits smm. the cpu stat e is restored using the smm memory space header and execution resumes at interrupted point. 1. mem80 = 80-bit memory location.
amd geode? gx1 processor data book 89 system management mode revision 4.1 4.7.6 smm memory space smm memory space is defined by specifying the base address and size of the smm memory space in the smar register. the base address must be a multiple of the smm memory space size. for example, a 32 kb smm memory space must be located at a 32 kb address boundary. the memory space size can range from 4 kb to 32 mb. execution of the interrupt begins at t he base of the smm memory space. smm memory space accesses are always cacheable, which allows smm routines to run faster. 4.7.7 smi generation for virtual vga the gx1 processor implements smi generation for vga accesses. when enabled memory write operations in regions a0000h to affffh, b0000h to b7fffh, and b8000h to bffffh generate an smi. memory reads are not trapped by the gx1 processor. when enabled, the gx1 processor traps i/o addresses for vga in the following regions: 3b0h to 3bfh, 3c0h to 3cfh, and 3d0h to 3dfh. memory-write trapping is performed during instruction decode in the processor core. i/o read and write trapping is implemented in the internal bus interface unit of the gx1 processor. the smi-generation hardware requires two additional con- figuration registers to control and mask smi interrupts in the vga memory space: vgactl and vgam. the vgactl register has a control bit for each address range shown above. the vgam register has 32 bits that can selectively disable 2 kb regions within the vga memory. the vgam applies only to the a0000h to affffh region. if this region is not enable d in vga_ctl, then the contents of vgam is ignored. the purp ose of vgam is to prevent an smi from occurring when non-displayed vga memory is accessed. this is an enhancement which improves perfor- mance for double-buffered applications. the format of each register is shown in table 5-37 on page 160. 4.7.8 smm service routine execution upon entry into smm, after the smm header has been saved, the cr0, eflags, and dr7 registers are set to their reset values. the code segment (cs) register is loaded with the base, as defined by the smar register, and a limit of 4 gb. the smm service routine then begins exe- cution at the smm base address in real mode. the programmer must save and restore the value of any registers not saved in the header that may be changed by the smm service routine. for data accesses immediately after entering the smm service routine, the programmer must use cs as a segment override. i/o port access is possible during the routine but care must be taken to save registers modified by the i/o instructions. before using a segment register, the register and the register?s descriptor cache contents should be saved using the svdc instruc- tion. hardware interrupts, intrs and nmis, may be serviced during an smm service routine. if interrupts are to be ser- viced while executing in the smm memory space, the smm memory space must be within the address range of 0 to 1 mb to guarantee proper return to the smm service routine after handling the interrupt. intrs are automatically disabled when entering smm since the if flag (eflags register, bit 9) is set to its reset value. once in smm, the intr can be enabled by setting the if flag. an nmi event in smm can be enabled by setting nmi_en high in the ccr3 regi ster (index c3h[1]). if nmi is not enabled while in smm, the cpu latches one nmi event and services the interrupt after nmi has been enabled or after exiting smm through the rsm instruction. upon entering smm, the processor is in real mode, but it may exit to either real or protect ed mode depending on its state when smm was initiated. the smm header indicates to which state it will exit. within the smm service routine, protected mode may be entered and exited as required, and real or protected mode device drivers may be called. to exit the smm service routin e, an rsm instruction, rather than an iret, is executed. the rsm instruction causes the gx1 processor core to restore the cpu state using the smm header information and resume execution at the interrupted point. if the full cpu state was saved by the programmer, the stored values should be reloaded before executing the rsm instruction using the mov, rsdc, rsldt and rsts instructions. 4.7.9 smi nesting the smi mechanism supports nesting of smi interrupts through the smm service routine, the smi_nest bit in the ccr4 register (index e8h[6]), and the nested smi status bit (bit n in the smm header, see table 4-35 "smm mem- ory space header description" on page 87). nesting is an important capability in allowing high-priority events, such as audio virtualization, to interrupt lower-priority smi code for vga virtualization or power management. smi_nest controls whether smi interrupts can occur during smm. smm service routines can optionally set smi_nest high to allow higher-priority smi interrupts while handling the cur- rent event. the smm service routine is responsible for managing the smm header data for nested smi interrupts. the smm header must be saved before smi_nest is set high, and smi_nest must be cleared and its header information restored before an rsm instruction is executed. the nested smi status bit has been added to the smm header to show whether the current smi is nested. the processor sets nested smi st atus high if the processor was in smm when the smi was taken. the processor uses nested smi status on exit to determine whether the pro- cessor should stay in smm.
90 amd geode? gx1 processor data book system management mode revision 4.1 when smi nesting is disabled, the processor holds off external smi interrupts until the currently executing smm code exits. when smi nesting is enabled, the processor can proceed with the smi. th e smm service routine will guarantee that no internal smis are generated in smm, so the processor ignores such events. if the internal and exter- nal smi signals are received simultaneously, then the inter- nal smi is given priority to avoid losing the event. the state diagram of the smi_nest and nested smi sta- tus bits are shown in figure 4-11 with each state explained next. a. when the processor is outside of smm, nested smi status is always clear and smi_nest is set high. b. the first-level smi interrupt is received by the processor. the microcode clears smi_nest, sets nested smi status high and saves the previous value of nested smi status (0) in the smm header. c. the first-level smm service routine saves the header and sets smi_nest high to re-enable smi interrupts from smm. d. a second-level (nested) sm i interrupt is received by the processor. this smi is taken even though the processor is in smm because the smi_nest bit is set high. the microcode clears smi_nest, sets nested smi status high and saves the previous value of nested smi status (1) in the smm header. e. the second-level smm service routine saves the header and sets smi_nest to re-enable smi inter- rupts within smm. another level of nesting could occur during this period. f. the second-level smm service routine clears smi_nest to disable smi interrupts, then restores its smm header. g. the second-level smm se rvice routine executes an rsm. the microcode sets smi_nest, and restores the nested smi status (1 ) based on the smm header. h. the first-level smm service routine clears smi_nest to disable smi interrupts, then restores its smm header. i. the first-level smm servic e routine executes an rsm. the microcode sets smi_nest high and restores the nested smi status (0) based on the smm header. when the processor is outside of smm, nested smi status is always clear and smi_nest is set high. figure 4-11. smi nesting state machine smi_nest nested smi status abcde fgh i
amd geode? gx1 processor data book 91 halt and shutdown revision 4.1 4.7.9.1 cpu states relate d to smm and suspend mode the state diagram shown in figure 4-12 illustrates the vari- ous cpu states associated with smm and suspend mode. while in the smm service routine, the gx1 processor core can enter suspend mode either by (1) executing a halt (hlt) instruction or (2) by asserting the susp# input. during smm operations and while in susp#-initiated sus- pend mode, an occurrence of either an nmi or intr is latched. (in order for intr to be latched, the if flag, eflags register bit 9, must be set.) the intr or nmi is serviced after exiting suspend mode. if suspend mode is entered th rough a hlt instruction from the operating system or applic ation software, the reception of an smi# interrupt causes the cpu to exit suspend mode and enter smm. if suspend mode is entered through the hardware (susp# = 0) while the operating system or appli- cation software is active, the cpu latches one occurrence of intr, nmi, and smi#. 4.8 halt and shutdown the halt instruction (hlt) stops program execution and generates the halt bus cycle on the pci bus. the gx1 pro- cessor core then drives out a stop grant bus cycle and enters a low-power suspend mode if the susp_hlt bit in ccr2 (index c2h[3]) is set. smi#, nmi, intr with inter- rupts enabled (if bit in eflags = 1), or reset forces the cpu out of the halt state. if th e halt state is interrupted, the saved code segment and inst ruction pointer specify the instruction following the hlt. shutdown occurs when a severe error is detected that pre- vents further processing. the most common severe error is the triple fault, a fault event while handling a double fault. setting the idt limit to zero or the gdt limit to zero will cause a triple fault when in protected mode. a reset brings the processor out of shutdown. an nmi will work if the idt limit is la rge enough, at least 000fh, to contain the nmi interrupt vector and if the stack has enough room. the stack must be large enough to contain the vector and flag information (the stack pointer must be greater than 0005h). figure 4-12. smm and suspend mode state diagram suspend mode (suspa# = 0) suspend mode (suspa# = 0) suspend mode (suspa# = 0) nmi or intr hlt* iret* rsm* smi# = 0 smint* susp# = 1 susp# = 0 interrupt service routine interrupt service routine os/application software smm service routine (smi# = 0) nmi or intr reset smi# = 0 (intr, nmi and smi# latched) non-smm operations smm operations interrupt service routine suspend mode (suspa# = 0) (intr and nmi latched) nmi or intr iret* susp# = 0 susp# = 1 iret* hlt* nmi or intr *instructions smm service routine (smi# = 0)
92 amd geode? gx1 processor data book protection revision 4.1 4.9 protection segment protection and page protection are safeguards built into the gx1 processor?s protected-mode architecture that deny unauthorized or incorrect access to selected memory addresses. these safeguards allow multitasking programs to be isolated from each other and from the oper- ating system. this section c oncentrates on segment pro- tection. selectors and descriptors are the key elements in the seg- ment protection mechanism. the segment base address, size, and privilege level are established by a segment descriptor. privilege levels control the use of privileged instructions, i/o instructions and access to segments and segment descriptors. selectors are used to locate segment descriptors. segment accesses are divided into two basic types, those involving code segments (e.g., control transfers) and those involving data accesses. the ability of a task to access a segment depends on the:  segment type  instruction requesting access  type of descriptor used to define the segment  associated privilege levels (described next) data stored in a segment can be accessed only by code executing at the same or a more privileged level. a code segment or procedure can only be called by a task execut- ing at the same or a less privileged level. 4.9.1 privilege levels the values for privilege levels range between 0 and 3. level 0 is the highest privilege level (most privileged), and level 3 is the lowest privilege level (least privileged). the privilege level in real mode is zero. the descriptor privilege level (dpl) is the privilege level defined for a segment in the segment descriptor. the dpl field specifies the minimum privilege level needed to access the memory segment pointed to by the descriptor. the current privilege level (cpl) is defined as the cur- rent task?s privilege level. the cpl of an executing task is stored in the hidden portion of the code segment register and essentially is the dpl for the current code segment. the requested privilege level (rpl) specifies a selec- tor?s privilege level. rpl is used to distinguish between the privilege level of a routine actually accessing memory (the cpl), and the privilege level of the original requester (the rpl) of the memory access. the lesser of the rpl and cpl is called the effective privilege level (epl). therefore, if rpl = 0 in a segment selector, the epl is always deter- mined by the cpl. if rpl = 3, the epl is always 3 regard- less of the cpl. if the level requested by rpl is less than the cpl, the rpl level is accepted and the epl is changed to the rpl value. if the level requested by rpl is greater than cpl, the cpl overrides the requested rpl and epl becomes the cpl value. for a memory access to succeed , the epl must be at least as privileged as the descriptor privilege level (epl dpl). if the epl is less privileged than the dpl (epl > dpl), a general protection faul t is generated. for example, if a segment has a dpl = 2, an instruction accessing the segment only succeeds if executed with an epl 2. 4.9.2 i/o privilege levels the i/o privilege level (iopl) allows the operating system executing at cpl = 0 to define the least privileged level at which iopl-sensitive instruct ions can unconditionally be used. the iopl-sensitive instructions include cli, in, out, ins, outs, rep ins, rep outs, and sti. modification of the if bit in the eflags register is also sensitive to the i/o privilege level. the iopl is stored in the eflags register (bits [31:12]). an i/o permission bit map is available as defined by the 32- bit task state segment (tss). since each task can have its own tss, access to individual i/o ports can be granted through separate i/o permission bit maps. if cpl iopl, iopl-sensitive operations can be per- formed. if cpl > iopl, a general protection fault is gener- ated if the current task is as sociated with a 16-bit tss. if the current task is associated with a 32-bit tss and cpl > iopl, the cpu consults the i/o permission bitmap in the tss to determine on a port-by-port basis whether or not i/o instructions (in, out, ins, outs, rep ins, rep outs) are permitted. the remaining iopl-sensitive operations generate a general protection fault. 4.9.3 privilege level transfers a task?s cpl can be changed only through intersegment control transfers using gates or task switches to a code seg- ment with a different privilege level. control transfers result from exception and interrupt servicing and from execution of the call, jmp, int, iret and ret instructions. there are five types of control transfers that are summa- rized in table 4-37. control transfers can be made only when the operation causing the control transfer references the correct descriptor type. any violation of these descriptor usage rules causes a general protection fault. any control transfer that changes the cpl within a task results in a change of stack. the initial values for the stack segment (ss) and stack pointer (esp) for privilege levels 0, 1, and 2 are stored in the tss. during a jmp or call con- trol transfer, the ss and esp are loaded with the new stack pointer and the previous stack pointer is saved on the new stack. when returning to the original privilege level, the ret or iret instruction restores the ss and esp of the less-privileged stack.
amd geode? gx1 processor data book 93 protection revision 4.1 4.9.3.1 gates gate descriptors described in "gate descriptors" on page 75, provide protection for privilege transfers among execut- able segments. gates are used to transition to routines of the same or a more privileged level. call gates, interrupt gates and trap gates are used for privilege transfers within a task. task gates are used to transfer between tasks. gates conform to the standard rules of privilege. in other words, gates can be accessed by a task if the effective priv- ilege level (epl) is the same or more privileged than the gate descriptor?s privilege level (dpl). 4.9.4 initialization and tr ansition to protected mode the gx1 processor core switches to real mode immedi- ately after reset. while operat ing in real mode, the sys- tem tables and registers should be initialized. the gdtr and idtr must point to a valid gdt and idt, respectively. the size of the idt should be at least 256 bytes, and the gdt must contain descriptors that describe the initial code and data segments. the processor can be placed in protected mode by setting the pe bit (cr0 register bit 0). after enabling protected mode, the cs register should be loaded and the instruction decode queue should be flushed by executing an interseg- ment jmp. finally, all data se gment registers should be ini- tialized with appropriate selector values. table 4-37. descriptor types used for control transfer type of control transfer operation types descriptor referenced descriptor table intersegment within the same privilege level. jmp, call, ret, iret 1 code segment gdt or ldt intersegment to the same or a more privileged level. interrupt within task (could change cpl level). call gate call gdt or ldt interrupt instruction, exception, external interrupt trap or interrupt gate idt intersegment to a less privileged level (changes task cpl). ret, iret 1 code segment gdt or ldt task switch via tss call, jmp task state segment gdt task switch via task gate call, jmp task gate gdt or ldt iret 2 , interrupt instruction, exception, external interrupt task gate idt 1. nt = 0 (nested task bit in eflags, bit 14) 2. nt =1 (nested task bit in eflags, bit 14)
94 amd geode? gx1 processor data book virtual 8086 mode revision 4.1 4.10 virtual 8086 mode both real mode and virtual 8086 (v86) modes are sup- ported by the gx1 processor, allowing execution of 8086 application programs and 80 86 operating systems. v86 mode allows the execution of 8086-type applications, yet still permits use of the paging and protection mechanisms. v86 tasks run at privilege level 3. before entry, all segment limits must be set to ffffh (64k) as in real mode. 4.10.1 memory addressing while in v86 mode, segment registers are used in an iden- tical fashion to real mode. the contents of the segment register are multiplied by 16 and added to the offset to form the segment base linear address. the gx1 processor permits the operati ng system to select which programs use the v86 address mechanism and which programs use pro- tected mode addressing for each task. the gx1 processor also permits the use of paging when operating in v86 mode. using paging, the 1 mb address space of the v86 task can be mapped to any region in the 4 gb linear address space. the paging hardware allows multiple v86 tasks to run con- currently, and provides pr otection and operating system isolation. the paging hardware must be enabled to run multiple v86 tasks or to relocate the address space of a v86 task to physical address space other than 0. 4.10.2 protection all v86 tasks operate with th e least amount of privilege (level 3) and are subject to all cpu protected m ode protec- tion checks. as a result, any attempt to execute a privileged instruction within a v86 task results in a general protection fault. in v86 mode, a slightly differen t set of instructions are sen- sitive to the i/o privilege le vel (iopl) than in protected mode. these instructions are: cli, int n, iret, popf, pushf, and sti. the int3, into and bound variations of the int instruction are not iopl sensitive. 4.10.3 interrupt handling to fully support the emulation of an 8086-type machine, interrupts in v86 mode are handled as follows. when an interrupt or exception is serviced in v86 mode, program execution transfers to the interrupt service routine at privi- lege level 0 (i.e., transition from v86 to protected mode occurs). the vm bit in the eflags register (bit 17) is cleared. the protected mode interrupt service routine then determines if the interrupt came from a protected mode or v86 application by examining the vm bit in the eflags image stored on the stack. t he interrupt service routine may then choose to allow the 8086 operating system to handle the interrupt or may emulate the function of the interrupt handler. following completion of the interrupt ser- vice routine, an iret instru ction restores the eflags reg- ister (restores vm = 1) and segment selectors and control returns to the interrupted v86 task. 4.10.4 entering and leaving virtual 8086 mode v86 mode is entered from protected mode by either execut- ing an iret instruction at cpl = 0 or by task switching. if an iret is used, the stack must contain an eflags image with vm = 1. if a task switch is used, the tss must contain an eflags image containing a 1 in the vm bit position. the popf instruction cannot be used to enter v86 mode since the state of t he vm bit is not affected. v86 mode can only be exited as the result of an interrupt or exception. the transition out must use a 32-bit trap or interrupt gate that must point to a non-conforming privilege level 0 segment (dpl = 0), or a 32-bit tss. these restrictions are required to permit the trap handler to iret back to the v86 program.
amd geode? gx1 processor data book 95 floating point unit operations revision 4.1 4.11 floating point unit operations the gx1 processor contains an fpu that is x87 and mmx instruction-set compatible and adheres to the ieee-754 standard. because most applications that contain fpu instructions intermix with integer instructions, the gx1 pro- cessor?s fpu achieves high performance by completing integer and fpu operations in parallel. 4.11.1 fpu register set the fpu provides the user ei ght data registers, a control register, and a status regist er. the cpu also provides a data register tag word that improves context switching and stack performance by mainta ining empty/non-empty status for each of the eight data registers. two additional, regis- ters contain pointers to (a) the memory location containing the current instruction word and (b) the memory location containing the operand associated with the current instruc- tion word (if any). 4.11.2 fpu tag word register the fpu maintains a tag word register that is divided into eight tag word fields. these fields assume one of four val- ues depending on the contents of their associated data registers: valid (00), zero (01), special (10), and empty (11). note: denormal, infinity, qnan, snan and unsup- ported formats are tagged as ?special? . tag values are maintained transparently by the cpu and are only available to the programmer indirectly through the fstenv and fsave instructions. the tag word with tag fields for each associated physical register, tag(n), is shown in table 4-38 on page 95. 4.11.3 fpu status register the fpu communicates status information and operation results to the cpu through the fpu status register, whose fields are detailed in table 4-38. these fields include infor- mation related to exception status, operation execution sta- tus, register status, operand class, and comparison results. this register is continuously accessible to the cpu regard- less of the state of the control or execution units. 4.11.4 fpu mode control register the fpu mode control register, shown in table 4-38, is used by the gx1 processor to specify the operating mode of the fpu. the register fields include information related to the rounding mode selected, the amount of precision to be used in the calculations, and the exception conditions which should be reported to the gx1 processor using traps. the user controls precision, rounding, and exception reporting by setting or clearing appropriate bits. table 4-38. fpu registers bit name description fpu tag word register (r/w) 1 15:14 tag7 tag7: 00 = valid; 01 = zero; 10 = special; 11 = empty. 13:12 tag6 tag6: 00 = valid; 01 = zero; 10 = special; 11 = empty. 11:10 tag5 tag5: 00 = valid; 01 = zero; 10 = special; 11 = empty. 9:8 tag4 tag4: 00 = valid; 01 = zero; 10 = special; 11 = empty. 7:6 tag3 tag3: 00 = valid; 01 = zero; 10 = special; 11 = empty. 5:4 tag2 tag2: 00 = valid; 01 = zero; 10 = special; 11 = empty. 3:2 tag1 tag1: 00 = valid; 01 = zero; 10 = special; 11 = empty. 1:0 tag0 tag0: 00 = valid; 01 = zero; 10 = special; 11 = empty. fpu status register (r/w) 1 15 b copy of es bit (bit 7 this register) 14 c3 condition code bit 3 13:11 s top-of-stack: register number that points to the current tos. 10:8 c[2:0] condition code bits [2:0] 7es error indicator: set to 1 if unmasked exception detected. 6sf stack full: fpu status register: or in valid register operation bit. 5p precision error exception bit 4u underflow error exception bit 3o overflow error exception bit 2z divide-by-zero exception bit
96 amd geode? gx1 processor data book floating point unit operations revision 4.1 1d denormalized-operand error exception bit 0i invalid operation exception bit fpu mode control register (r/w) 1 15:12 rsvd reserved: set to 0 11:10 rc rounding control bits: 00 = round to nearest or even 01 = round towards minus infinity 10 = round towards plus infinity 11 = truncate 9:8 pc precision control bits: 00 = 24-bit mantissa 01 = reserved 10 = 53-bit mantissa 11 = 64-bit mantissa 7:6 rsvd reserved: set to 0 5p precision error exception bit 4u underflow error exception bit 3o overflow error exception bit 2z divide-by-zero exception bit 1d denormalized-operand error exception bit 0i invalid-operation exception bit 1. r/w only through the environment store and restore commands. table 4-38. fpu registers (continued) bit name description
amd geode? gx1 processor data book 97 integrated functions revision 4.1 5.0 integrated functions the integrated functions of the amd geode? gx1 proces- sor are:  internal bus interface  sdram memory controller  high-performance 2d graphics accelerator  display controller with separate crt and tft data paths  pci bridge the design organizes the memory controller, graphics pipeline and display controller into a unified memory archi- tecture (uma). uma simplifies system designs and signifi- cantly reduces overall system costs associated with high chip count, small footprint designs. performance degrada- tion in traditional uma systems is reduced through the use of amd?s display compression technology (dct) architec- ture. figure 5-1 shows the major functional blocks of the gx1 processor and how the internal bus interface unit operates as the interface between the processor?s core units and the integrated functions. this section details how the integrated functions and inter- nal bus interface unit operate and their respective registers. figure 5-1. internal block diagram write-back unit fpu internal bus interface unit graphics memory display pci sdram port cs5530a pci bus integer cache unit integrated functions mmu (crt/lcd tft) x-bus pipeline controller controller controller c-bus
98 amd geode? gx1 processor data book integrated functions programming interface revision 4.1 5.1 integrated functions pr ogramming interface the gx1 processor?s integrated functions programming interface is a memory mapped space. the control registers for the graphics pipeline, display controller, and memory controller are located in this s pace, as well as all the graph- ics memory: frame buffer, compression buffer, etc. this memory address space is referred to as the gx1 processor memory space. 5.1.1 graphics control register the base address for these memory mapped registers is programmed in the graphics configuration register (gcr, index b8h, bits[1:0]), shown in table 5-1. the gcr only specifies address bits [31:30] of physical memory. the remaining address bits [29:0] are fixed to zero. the gcr is i/o mapped because it must be accessed before memory mapping can be enabled. refer to section 4.3.2.2 "config- uration registers" on page 53 for information on how to access this register. the gx1 processor incorporates graphics functions that require registers to implement and control them. most of these registers are memory mapped and physically located in the logical units they control. the mapping of these units is controlled by the gcr register. figure 5-2 on page 99 shows the complete memory address map for the gx1 processor. when accessing the gx1 processor memory space, address bits [29:24] must be zero. this means that the gx1 processor accesses a linear address space with a total of 16 mb. address bit 23 divides this space into 8 mb for control (bit 23 = 0) and 8 mb for graphics memory (bit 23 = 1). in control space, bits [22:16] are not decoded, so the programmer should set them to zero. address bit 15 divides the remaining 64 kb address space into scratchpad ram and pci access (bit 15 = 0) and control registers (bit 15 = 1). note that scratch- pad ram is placed here by programming the tags appropri- ately. device drivers are responsible for performing physical-to- virtual memory-address translat ion, including allocation of selectors that point to the gx1 processor. all memory decoded by the processor may be accessed in protected mode by creating a selector with the physical address equal to the gx1 base address, shown in table 5-1, and a limit of 16 mb. additionally, a selector with only a 64 kb limit is large enough to access all of the gx1 processor?s registers and scratchpad ram. table 5-1. graphics control register (gcr) bit name description index b8h gcr register (r/w) default value = 00h 7:4 rsvd reserved: set to 0. 3:2 sp scratchpad size: specifies the size of the scratchpad cache. 00 = 0 kb; graphics instruction disabled (see section 5.1.5 "display driver instructions" on page 103). 01 = 2 kb 10 = 3 kb 11 = 4 kb 1:0 gx gx1 base address: specifies the physical address for the base (gx_base) of the scratchpad ram, the graphics memory (frame buffer, compression buffer, etc.) and the other memory mapped registers. 00 = scratchpad ram, graphics subsystem, and memo ry-mapped configuration r egisters are disabled. 01 = scratchpad ram and control registers start at gx_base = 40000000h. 10 = scratchpad ram and control registers start at gx_base = 80000000h. 11 = scratchpad ram and control registers start at gx_base = c0000000h.
amd geode? gx1 processor data book 99 integrated functions programming interface revision 4.1 figure 5-2. gx1 processor memory space conventional memory umbs and expansion roms video bios system bios extended memory scratchpad ram vga/mda frame buffers (soft vga and/or pca/isa) internal bus if unit registers graphics pipeline registers smm system code (frame buffer, etc.) pci access rom access (256 kb) 0h a0000h (640 kb) c0000h e0000h 100000h (1 mb) e8000h gx_base+8000h gx_base+9000h gx_base+400000h gx_base+800000h gx_base+8800000h fffc0000h ffffffffh (4 gb) extended memory graphics memory (frame buffer, etc.) 0h a0000h (640 kb) c0000h e0000h 100000h (1 mb) e8000h shadowed video bios shadowed system bios smm system code physical address map dram map max *gbadd or top of dram top of dram* pci access display controller registers memory controller registers graphics memory * see bc_dram_top in table 5-8 on page 105 or mc_gbase_add in table 5-15 on page 113. (see table 5-28 on page 139) (see table 5-23 on page 128) (see table 5-8 on page 105) ffff ffffh max conventional memory umbs and expansion roms gx_base+8500h gx_base+8400h (see table 5-14 on page 112) gx_base+8300h gx_base+8100h (see table 5-3 on page 101) gx_base+1000h power management registers (see table 6-1 on page 178) gx_base available to the system pci access available to the system control register aliases
100 amd geode? gx1 processor data book integrated functions programming interface revision 4.1 5.1.2 control registers the control registers for the gx1 processor use 32 kb of the memory map, starting at gx_base+8000h (see figure 5-2 on page 99). the space from gx_base+9000h to gx_base+4000000h is also mapped to the control regis- ters, but is undefined. the defined control registers will alias into this undefined spaced. the remaining area is divided into internal bus interface unit, graphics pipeline, display controller, memory controller, and power manage- ment sections:  the internal bus interface unit maps 100h locations starting at gx_base+8000h.  the graphics pipeline maps 200h locations starting at gx_base+8100h.  the display controller maps 100h locations starting at gx_base+8300h.  the memory controller maps 100h locations starting at gx_base+8400h.  gx_base+8500h-8fffh is dedicated to power management registers for the serial packet transmission control, the user-defined power management address space, suspend refresh, and smi status for suspend/ resume. the register descriptions are contained in the individual subsections of this chapter. accesses to undefined regis- ters in the gx1 processor c ontrol register space will not cause a hardware error. 5.1.3 graphics memory graphics memory is allocated from system dram by the system bios. the gx1 processor?s graphics memory is mapped into 4 mb starting at gx_base+800000h. this area includes the frame buffer memory and storage for internal display controller state. the frame buffer is a linear map whose size depends on the user?s requirements (i.e., resolution, color depth, video buffer, compression buffer, font caching, etc.). frame buffer scan lines are not contigu- ous in many resolutions, so software that renders to the frame buffer must use the screen width setting (gx_base+820ch[10:9], see page 132 for bit descrip- tions) to advance between scan lines. the display control- ler can use the graphics memory that lies between scan lines for the compression bu ffer. accessing graphics mem- ory between the end of a scan line and the start of another can cause display problems. the screen width setting for the standard resolutions is shown in table 5-2. the graphics memory size is programmed by setting the graphics memory base address in the memory controller (see table 5-14 on page 112). display drivers communi- cate with system bios about re solution changes, to ensure that the correct amount of graphics memory is allocated. since no mechanism exists to recover system dram from the operating system without rebooting, when a graphics resolution change requires an increased amount of graph- ics memory, the system must be rebooted. table 5-2. display resolution screen width screen resolution pixel depth skip count 640x480 8 bits 1024 640x480 16 bits 2048 800x600 8 bits 1024 800x600 16 bits 2048 1024x768 8 bits 1024 1024x768 16 bits 2048 1280x1024 8 bits 2048 1280x1024 16 bits 4096
amd geode? gx1 processor data book 101 integrated functions programming interface revision 4.1 5.1.4 scratchpad ram to improve software performance for specific applications, part of the l1 cache (2, 3, or 4 kb) can be programmed to operate as a scratchpad ram. this scratchpad ram oper- ates at l1 speed which can speed up time-critical software operations. the scratchpad ram is taken from set 0 of the l1 cache. setting aside this ram makes the l1 cache smaller by the scratchpad ram size. the scratchpad ram size is controlled by bits in the gcr register (index b8h, bits[3:2]). see table 5-1 on page 98. the scratchpad ram is usually memory mapped by bios to the upper memory region defined by the gcr register (index b8h, bits [1:0]). once enabled, the valid bits for the scratchpad ram will always be true and the scratchpad ram locations will never be flushed to external memory. the scratchpad ram serves as a general purpose high speed ram and as a blt buffer for the graphics pipeline. 5.1.4.1 initializatio n of scratchpad ram the scratchpad ram must be initialized before the l1 cache is enabled. to initializ e the scratchpad ram after a cold boot: 1) initialize the tags of t he scratchpad ram using the test registers tr4 and tr5 as ou tlined in section 4.3.2.5 "cache test registers" on page 62. the tags are normally programmed with an address value equiva- lent to gx_base (gcr register). 2) enable the scratchpad ram to the desired size (gcr register). this action will also lock down the tags. 3) enable the l1 cache. see section 4.3.2.1 "control registers" on page 51. 5.1.4.2 scratchpad ram utilization use of scratchpad ram by applications and drivers must be tightly controlled. to avoi d conflicts, application software and third-party drivers should generally avoid accesses to the scratchpad ram area. the scratchpad ram is used by the graphics pipeline blt buffers, and amd supplied dis- play drivers and virtualization software. table 5-3 describes the 2 kb, 3 kb, and 4 kb scratchpad ram organization used by amd developed software. the blt buffers are pro- grammed using cpu_read/cpu_write instructions described in section 5.1.6 on page 103. if the graphics pipeline or amd software is used, and it is desirable to use scratchpad ram by software other than that supplied by amd, please contact your lo cal amd technical support rep- resentative. 5.1.4.3 blt buffer address registers, bitblt, have been added to the front end of the l1 cache to enable the graphics pipeline to directly access a portion of the scratchpad ram as a blt buffer. table 5-4 summarizes these registers. these regis- ters do not have default values and must be initialized before use. table 5-5 gives the register/bit formats. a 16- byte line buffer dedicated to the graphics pipeline blt oper- ations has been added to minimize accesses to the l1 cache. when the blt operation begins, the graphics pipeline gen- erates a 32 bit data blt request to the l1 cache. this request goes through the bitblt registers to produce an address into the scratchpad ram. the l1_bbx_pointer register automatically increments after each access. a blt operation generates many accesses to the blt buffer to complete a blt transfer. at the end of the blt operation the graphics pipeline generates a signal to reload the l1_bbx_pointer register with the l1_ bbx_base regis- ter. this allows the blt buffer to be used over and over again with a minimum of software overhead. see section 5.4 "graphics pipeline" on page 124 on pro- gramming the graphics pipeline to generate a blt. table 5-3. scratchpad organization 2 kb configuration 3 kb configuration 4 kb configuration description offset size offset size offset size gx_base + 0ee0h 288 bytes gx_base + 0ee0h 288 bytes gx_base + 0ee0h 288 bytes smm scratchpad gx_base + 0e60h 128 bytes gx_base + 0e60h 128 bytes gx_base + 0e60h 128 bytes driver scratchpad gx_base + 0800h 816 bytes gx_base + 0400h 1328 bytes gx_base + 0h 1840 bytes blt buffer 0 gx_base + 0b30h 816 bytes gx_base + 0930h 1328 bytes gx_base + 730h 1840 bytes blt buffer 1
102 amd geode? gx1 processor data book integrated functions programming interface revision 4.1 table 5-4. l1 cache bitblt register summary mnemonic name 1 function 2 l1_bb0_base l1 cache bitblt 0 base address contains the l1 set 0 address to the first byte of blt buffer 0. l1_bb0_pointer l1 cache bitblt 0 pointer contains the l1 set 0 address offset to the current line of blt buffer 0. l1_bb1_base l1 cache bitblt 1 base address contains the l1 set 0 address to the first byte of blt buffer 1. l1_bb1_pointer l1 cache bitblt 1 pointer contains the l1 set 0 address offset to the current line of blt buffer 1. 1. for information on accessing these registers, refer to section 5.1.6 "cpu_read/cpu_write instructions" on page 103. 2. the l1 cache locations accessed by the bitblt register s must be enabled as scratchpad ram prior to use. table 5-5. l1 cache bitblt registers bit name description l1_bb0_base register (r/w) default value = xxh 15:12 rsvd reserved: set to 0. 11:4 index bitblt 0 base index: the index to the starting cache line of set 0 in l1 of blt buffer 0. 3:0 byte bitblt 0 starting byte: determines which byte of the starti ng line is the beginning of blt buffer 0. l1_bb0_pointer register (r/w) default value = xxh 15:12 rsvd reserved: set to 0. 11:4 index bitblt 0 pointer index: the index to the current cache line of set 0 in l1 of blt buffer 0. 3:0 rsvd reserved: set to 0. l1_bb1_base register (r/w) default value = xxh 15:12 rsvd reserved: set to 0. 11:4 index bitblt 1 base index: the index to the starting cache line of set 0 in l1 of blt buffer 1. 3:0 byte bitblt 1 starting byte: determines which byte of the starti ng line is the beginning of blt buffer 1. l1_bb1_pointer register (r/w) default value = xxh 15:12 rsvd reserved: set to 0. 11:4 index bitblt 1 pointer index: the index to the current cache line of set 0 in l1 of blt buffer 1. 3:0 rsvd reserved: set to 0.
amd geode? gx1 processor data book 103 integrated functions programming interface revision 4.1 5.1.5 display driver instructions while the majority of the gx1?s integrated function inter- face is memory mapped, a few integrated function registers are accessed via four gx1 specific instructions. table 5-6 shows these instructions. adding cpu instructions does not create a compatibility problem for applications that may depend on receiving ille- gal opcode traps. the solution is to make these instructions generate an illegal opcode trap unless a compatibility bit is explicitly set. the gx1 proc essor uses the scratchpad size field (bits [3:2] in gcr, index b8h) to enable or disable all of the graphics instructions. note: if the scratchpad size bits are zero, meaning that none of the cache is defined as scratchpad, then hardware will assume that the graphics controller is not being used and the graphics instructions will be disabled. any other scratchpad size will enable all of the new instruc- tions. note that the base address of the memory map in the gcr register can still be set up to allow access to the memory controller registers 5.1.6 cpu_read/cpu_write instructions the gx1 processor has several internal registers that con- trol the blt buffer and power management circuitry in the dedicated cache subsystem. to avoid adding additional instructions to read and writ e these registers, the gx1 pro- cessor has a general mechanis m to access internal cpu registers with reasonable performance. the gx1 processor has two special instructions to read and write cpu regis- ters: cpu_read and cpu_write. both instructions fetch a 32-bit register address from ebx as shown in table 5-6 and table 5-7 . cpu_write uses eax for the source data, and cpu_read uses eax as the destination. both instructions always transfer 32 bits of data. these instructions work by initiating a special i/o transac- tion where the high address bit is set. this provides a very large address space for internal cpu registers. the blt buffer base registers define the starting physical addresses of the blt buffers located within the dedicated l1 cache. the dedicated cache can be configured for up to 4 kb, so 12 address bits are required for each base address.. table 5-6. display driver instructions syntax opcode registers description bb0_reset 0f3a n/a reset the blt bu ffer 0 pointer to the base. bb1_reset 0f3b n/a reset the blt bu ffer 1 pointer to the base. cpu_write 0f3c ebx = register address (see table 5-7) eax = source data write data to cpu internal register. cpu_read 0f3d ebx = register address (see table 5-7) eax = destination data read data from cpu internal register. table 5-7. address map for cpu-access registers register ebx address description l1_bb0_base ffffff0ch blt bu ffer 0 base address (see table 5-5 on page 102). l1_bb1_base ffffff1ch blt bu ffer 1 base address (see table 5-5 on page 102). l1_bb0_pointer ffffff2ch blt buffer 0 pointer address (see table 5-5 on page 102). l1_bb1_pointer ffffff3ch blt buffer 1 pointer address (see table 5-5 on page 102). pm_base ffffff6ch power management bas e address (see tabl e 6-3 on page 180). pm_mask ffffff7ch power management addr ess mask (see table 6-3 on page 180).
104 amd geode? gx1 processor data book internal bus interface unit revision 4.1 5.2 internal bus interface unit the gx1 processor?s internal bus interface unit provides control and interface functions to the c-bus and x-bus. the functions on c-bus include: processor core, fpu, graphics pipeline, and l1 cache. the functions on x-bus include: pci controller, displa y controller, memory control- ler, and graphics accelerator. it provides attribute control for several sections of memory, and plays an important part in the virtual vga function. the internal bus interface unit performs functions which previously required the external pins ignne# and a20m#. the internal bus interface unit provides configuration con- trol for up to 20 different regions within system memory. this includes a top-of-memory register and 19 configurable memory regions in the address space between 640 kb and 1 mb. each region has separate control for read access, write access, cacheability, and external pci master access. in support of vga emulation, three of the memory regions are configurable for use by the graphics pipeline and three i/o ranges can be programmed to generate smis. 5.2.1 fpu error support the ferr# (floating point error) and ignne# (ignore numeric error) pins of the 486 microprocessor have been replaced with an irq13 (interrupt request 13) pin. in dos systems, fpu errors are reported by the external vector 13. emulation of this mode of operation is specified by clearing the ne bit (bit 5) in the cr0 register (see table 4-7 on page 51 for bit definition). if the ne bit is active, the irq13 output of the gx1 processor is always driven inac- tive. if the ne bit is cleared, the gx1 processor drives irq13 active when the es bit (bit 7) in the fpu status reg- ister is set high. software mu st respond to this interrupt with an out instruction containing an 8-bit operand to f0h or f1h. when the out cycle occurs, the irq13 pin is driven inactive and the fpu starts ignoring numeric errors. when the es bit is cleared, the fpu resumes monitoring numeric errors. 5.2.2 a20m support the gx1 processor provides an a20m bit in the bc_xmap_1 register (gx_base+ 8004h[21], see table 5- 9 on page 105 for bit details) to replace the a20m# pin on the 486 microprocessor. when the a20m bit is set high, all non-smi accesses will have address bit 20 forced to zero. external hardware must do an smi trap on i/o locations that toggle the a20m# pin. the smi software can then change the a20m bit as desired. this will maintain compatibility with software that depends on wrapping the address at bit 20. 5.2.3 smi generation the internal bus interface unit can generate smi interrupts whenever an i/o cycle is in the vga address ranges of 3b0h to 3bfh, 3c0h to 3cfh and/or 3d0h to 3dfh. if an external vga card is present, the internal bus interface reset values will not generate an interrupt on vga accesses. (refer to section 5.6.3 "vga configuration reg- isters" on page 159 for instructions on how to configure the registers to enable the smi interrupt.) 5.2.4 640 kb to 1 mb region there are 19 configurable memory regions located between 640 kb and 1 mb. three of the regions, a0000h to affffh, b0000h to b7fffh, and b8000h to bffffh, are typically used by the graphics subsystem in vga emu- lation mode. each of the t hese regions has a vga control bit that can cause the graphics pipeline to handle accesses to that section of memory (see table 5-37 on page 160). the area between c0000h and fffffh is divided into 16 kb segments to form the remaining 16 regions. all 19 regions have four control bits to allow any combination of read-access, write-access, ca che, and external pci bus master access capabilities (see table 5-10 on page 107).
amd geode? gx1 processor data book 105 internal bus interface unit revision 4.1 5.2.5 internal bus interface unit registers the internal bus interface unit maps 100h bytes starting at gx_base+8000h. however, only 16 bytes (four 32-bit reg- isters) are defined and some of these registers will alias across the 100h space. refer to section 5.1.2 "control registers" on page 100 for instructions on accessing these registers. table 5-8 summarizes the four 32-bit registers contained in the internal bus interface unit and table 5-9 gives the regis- ter/bit formats. table 5-8. internal bus interface unit register summary gx_base+ memory offset type name/function default value 8000h-8003h r/w bc_dram_top top of dram: contains the highest available address of system mem- ory not including the memory that is set aside for graphics memory, which corresponds to 512 mb of memory. the largest possible value for the register is 1fffffffh. 3fffffffh 8004h-8007h r/w bc_xmap_1 memory x-bus map register 1 (a and b region control): contains the region control of the a and b regions and the smi controls required for vga emulation. pci access to internal registers and the a20m function are also controlled by this register. 00000000h 8008h-800bh r/w bc_xmap_2 memory x-bus map register 2 (c and d region control): contains region control fields for eight regions in the address range c0h through dch. 00000000h 800ch-800fh r/w bc_xmap_3 memory x-bus map register 3 (e and f region control): contains the region control fields for memory regions in the address range e0h through fch. 00000000h table 5-9. internal bus interface unit registers bit name description gx_base+8000h-8003h bc_dram_top register (r/w) default value = 3fffffffh 31:29 rsvd reserved: set to 0. 28:17 top of dram top of dram: 000h = minimum top or 0001ffffh (128 kb) fffh = maximum top or 1fffffffh (512 mb) 16:0 rsvd reserved: set to 1 . gx_base+8004h-8007h bc_xmap_1 register (r/w) default value = 00000000h 31:29 rsvd reserved: set to 0. 28 geb8 graphics enable for b8 region: allow memory r/w operations for address range b8000h to bffffh be directed to the graphics pipeline: 0 = disable; 1 = enable. if enabled, the geb8 region is always noncache- able. in the region control field (b8) the cache enable bit (bit 2) is ignored. (used for vga emulation.) 27:24 b8 b8 region: region control field for addr ess range b8000h to bffffh. refer to table 5-10 on page 107 for decode. 23 rsvd reserved: set to 0. 22 prae pci register access enable: allow pci slave to access internal registers on the x-bus: 0 = disable; 1 = enable. 21 a20m address bit 20 mask: address bit 20 is always forced to a zero except for smi accesses: 0 = disable; 1 = enable.
106 amd geode? gx1 processor data book internal bus interface unit revision 4.1 20 geb0 graphics enable for b0 region: allow memory r/w operations for address range b8000h to bffffh be directed to the graphics pipeline: 0 = disable; 1 = enable. if enabled, the geb0 region is always noncache- able. in the region control field (b0) the cache enable bit (bit 2) is ignored. (used for vga emulation.) 19:16 b0 b0 region: region control field for addr ess range b0000h to b7fffh. refer to table 5-10 on page 107 for decode. 15 smid smid: all i/o accesses for address range 3d0h to 3dfh generate an smi: 0 = disable; 1 = enable. (used for vga virtualization.) 14 smic smic: all i/o accesses for address range 3c0h to 3cfh generate an smi: 0 = disable; 1 = enable. (used for vga virtualization.) 13 smib smib: all i/o accesses for address range 3b0h to 3bfh generate an smi: 0 = disable; 1 = enable (used for vga virtualization.) 12:8 rsvd reserved: set to 0. 7 xpd x-bus pipeline: the address for the next cycle can be driven on the x-bus before the completion of the data phase of the current cycle. 0 = enable; 1 = disable. 6gnws x-bus graphics pipe no wait state: data driven on the x-bus from the graphics pipeline: 0 = 1 full clock before x_dsx is asserted 1 = on the same clock in which x_rdy is asserted 5xnws x-bus no wait state: data driven on the x-bus from the internal bus interface unit: 0 = 1 full clock before x_dsx is asserted 1 = on the same clock in which x_rdy is asserted 4gea graphics enable for a region: allow memory r/w operations for address range b8000h to bffffh be directed to the graphics pipeline: 0 = disable; 1 = enable. if enabled, the g ea region is always noncache- able. in the region control field (a0) the cache enable bit (bit2) is ignored. (used for vga emulation.) 3:0 a0 a0 region: region control field for addr ess range a0000h to affffh. refer to table 5-10 on page 107 for decode. gx_base+8008h-800bh bc_xmap_2 register (r/w) default value = 00000000h 31:28 dc dc region: region control field for address range dc000h to dffffh. 27:24 d8 d8 region: region control field for addr ess range d8000h to dbfffh. 23:20 d4 d4 region: region control field for addr ess range d4000h to d7fffh. 19:16 d0 d0 region: region control field for addr ess range d0000h to d3fffh. 15:12 cc cc region: region control field for address range cc000h to cffffh. 11:8 c8 c8 region: region control field for address range c8000h to cbfff. 7:4 c4 c4 region: region control field for addr ess range c4000h to c7fffh. 3:0 c0 c0 region: region control field for addr ess range c0000h to c3fffh. note: refer to table 5-10 on page 107 for decode. gx_base+800ch-800fh bc_xmap_3 register (r/w) default value = 00000000h 31:28 fc fc region: region control field for address range fc000h to fffffh. 27:24 f8 f8 region: region control field for address range f8000h to fbfffh. 23:20 f4 f4 region: region control field for address range f4000h to f7fffh. 19:16 f0 f0 region: region control field for address range f0000h to f3fffh. 15:12 ec ec region: region control field for address range ec000h to effffh. 11:8 e8 e8 region: region control field for address range e8000h to ebfffh. 7:4 e4 e4 region: region control field for address range e4000h to e7fffh. 3:0 e0 e0 region: region control field for address range e0000h to e3fffh. note: refer to table 5-10 on page 107 for decode. table 5-9. internal bus interface unit registers (continued) bit name description
amd geode? gx1 processor data book 107 internal bus interface unit revision 4.1 table 5-10. region-control-field bit definitions bit position function 3 pci accessible: the pci slave can access this memory if this bit is set high and if the appropriate read or write enable bit is also set high. 2 cache enable 1 : caching this region of memory is inhibited if this bit is cleared. 1 write enable 1 : write operations to this region of memory are allowed if this bit is set high. if this bit is cleared, then write operations in this region are directed to the pci master. 0 read enable: read operations to this region of memory are allow ed if this bit is set high. if this bit is cleared then read operations in this regi on are directed to the pci master. 1. if cache enable = 1 and write enable = 1, the write enable determination occu rs after the data has passed the cache. since the cache does write update, write data will change the cache if the address is cached. if a read then occurs to that address, the data will come from the written data that is in the cache even t hough the address is not writable. if this must be avoided then do not make the region cacheable.
108 amd geode? gx1 processor data book memory controller revision 4.1 5.3 memory controller the memory controller arbitrates requests from the x-bus (processor and pci), display controller, and graphics pipe- line. a total of 512 mb of sdram memory is supported. the gx1 processor supports lvttl (low voltage ttl) technology. lvttl technology allows the sdram interface of the memory controller to run at frequencies over 100 mhz. the sdram clock is a function of the core clock. the core clock can be divided down from 2 to 5 in half clock incre- ments to generate the sdram clock. sdram frequencies over 79 mhz are only supported for certain types of closed systems, and strict design rule s must be adhered to. for further details, contact your local amd technical support representative. a basic block diagram of the memory controller is shown in figure 5-3. figure 5-3. memory controller block diagram address processor/pci display controller graphics pipeline processor/pci address processor/pci i/f display controller i/f graphics pipeline i/f arbiter sdram rasa#,rasb# ckea, ckeb wea#/web# configuration ma[12:0] ba[1:0] display controller address graphics pipeline address processor/pci data display controller data graphics pipeline data processor/pci display controller md[63:0] read buffer (16 bytes) sequence controller timing controller registers control/mux write buffer (16 bytes) write buffer (16 bytes) graphics controller write buffer (16 bytes) control control control dqm[7:0] casa#,casb# cs[3:0]# rfsh clock divider 2, 2.5, 3, 3.5, 4, 4.5, 5 sdclk[3:0] core clock (ph2)
amd geode? gx1 processor data book 109 memory controller revision 4.1 5.3.1 memory array configuration the memory controller supports up to four 64-bit sdram banks, with maximum of eight physical devices per bank. banks 0: 1 and 2: 3 must be id entical configurations. two 168-pin unbuffered sdram mo dules (dimm) satisfy these requirements though the following discussion is dimm centric, dimms are not a system requirement. each dimm receives a unique set of ras, cas, we, and cke lines. each dimm can have one or two 64-bit dimm banks. each dimm bank is selected by a unique chip select (cs). there are four chip select signals to choose between a total of four dimm banks. each dimm bank also receives a unique sdclk. each dimm bank can have two or four component banks. component bank selection is done through the bank address (ba) lines. for example, 16-mbit sdram have two component banks and 64-mbit sdrams have two or four component banks. for single dimm bank modules, the memory controller can support two dimm with a maximum of eight component banks. for dual dimm bank modules, the memory control- ler can support two dimms with a maximum of 16 compo- nent banks. up to 16 banks can be open at the same time. refer to the sdram manufacturer?s specification for more information on component banks. figure 5-4. memory array configuration amd geode? gx1 processor ma[12:0] ba[1:0] md[63:0] dqm[7:0] rasa# casa# wea# cs1# cs0# ckea sdclk0 sdclk1 rasb# casb# web# cs3# cs2# ckeb sdclk2 sdclk3 a[12:0] ba[1:0] md[63:0] dqm[7:0] ras# cas# we# s0#, s2# cke0 ck0, ck2 a[12:0] ba[1:0] md[63:0] dqm[7:0] ras# cas# we# s1#, s3# cke1 ck1, ck3 bank 0 bank 1 a[12:0] ba[1:0] md[63:0] dqm[7:0] ras# cas# we# s0#, s2# cke0 ck0, ck2 a[12:0] ba[1:0] md[63:0] dqm[7:0] ras# cas# we# s1#, s3# cke1 ck1, ck3 bank 0 bank 1 dimm 1 dimm 0
110 amd geode? gx1 processor data book memory controller revision 4.1 5.3.2 memory organizations the memory controller supports jedec standard synchro- nous drams in 16, 64, 128, and 256-mbit configurations. supported configurations are shown in table 5-11. note that when using x4 sdram, t here are 16 devices per bank. the gx1 supports a total of 32 devices. there are only two banks total when x4 devices are used. table 5-11. synchronous dram configurations depth organization row address column address bank address total # of address bits 1 1mx16 a10-a0 a7-a0 ba0 20 2 2mx8 a10-a0 a8-a0 ba0 21 2mx32 a10-a0 a7-a0 ba1-ba0 21 2mx32 a10-a0 a8-a0 ba0 21 2mx32 a11-a0 a6-a0 ba1-ba0 21 2mx32 a12-a0 a6-a0 ba0 21 4 4mx4 a10-a0 a9-a0 ba0 22 4mx16 a11-a0 a7-a0 ba1-ba0 22 4mx16 a12-a0 a7-a0 ba0 22 4mx16 a10-a0 a9-a0 ba0 22 8 8mx8 a11-a0 a8-a0 ba1-ba0 23 8mx8 a12-a0 a8-a0 ba0 23 8mx16 a11-a0 a8-a0 ba1-ba0 23 8mx16 a12-a0 a7-a0 ba1-ba0 23 8mx32 a11-a0 a8-a0 ba1-ba0 23 8mx32 a12-a0 a7-a0 ba1-ba0 23 16 16mx4 a11-a0 a9-a0 ba1-ba0 24 16mx4 a12-a0 a9-a0 ba0 24 16mx8 a11-a0 a9-a0 ba1-ba0 24 16mx8 a12-a0 a8-a0 ba1-ba0 24 16mx16 a12-a0 a8-a0 ba1-ba0 24 16mx16 a11-a0 a9-a0 ba1-ba0 24 32 32mx8 a12-a0 a9-a0 ba1-ba0 25 64 64mx4 a12-a0 a9-a0,a11 ba1-ba0 26
amd geode? gx1 processor data book 111 memory controller revision 4.1 5.3.3 sdram commands this subsection discusses the sdram commands sup- ported by the memory controller. table 5-12 summarizes these commands followed by detailed operational informa- tion regarding each command. refer to the sdram manu- facturer?s specification for more information on component banks. mrs ? the mode register set command defines the spe- cific mode of operation of the sdram. this definition includes the selection of burst length, burst type, and cas latency. cas latency is the delay, in clock cycles, between the registration of a read co mmand and the availability of the first piece of output data. the burst length is programmed by address bits ma[2:0], the burst type by address bit ma3 and the cas latency by address bits ma[6:4]. the memory controller only supports a burst length of two and burst type of interleave. the field value on ma[12:0] and ba[1:0] during the mrs cycle are as shown in table 5-13. pre ? the precharge command is used to deactivate the open row in a particular component bank or the open row in all (2 or 4, device dependent) component banks. address pin ma10 determines whether one or all compo- nent banks are to be precharged. in the case where only one component bank is to be precharged, ba[1:0] selects which bank. once a component bank has been pre- charged, it is in the idle state and must be activated prior to any read or write commands. act ? the activate command is used to open a row in a particular bank for a subsequent access. the value on the ba lines selects the bank, and the address on the ma lines selects the row. this row re mains open for accesses until a precharge command is issued to that bank. a precharge command must be issued before opening a different row in the same bank. wrt ? the write command is used to initiate a burst write access to an active row. the value on the ba lines select the component bank, and the address provided by the ma lines select the starting column location. the memory con- troller does not perform auto precharge during write opera- tions. this leaves the page open for subsequent accesses. data appearing on the md lines is written to the dqm logic level appearing coincident with the data. if the dqm signal is registered low, the corresponding data will be written to memory. if the dqm is driven high, the corresponding data will be ignored, and a write will not be executed to that loca- tion. read ? the read command is used to initiate a burst read access to an active row. the value on the ba lines select the component bank, and the address provided by the ma lines select the star ting column location. the mem- ory controller does not perform auto precharge during read operations. valid data-out from the starting column address is available following the cas latency after the read com- mand. the dqm signals are asserted low during read operations. rfsh ? auto refresh is used during normal operation and is analogous to the cas-before-ras (cbr) refresh in con- ventional drams. during auto refresh the address bits are ?don?t care?. the memory co ntroller precharges all banks prior to an auto refresh cycle. auto refresh cycles are issued approximately 15 s apart. the self refresh command is used to retain data in the sdrams even when the rest of the system is powered down. the self refresh command is similar to an auto refresh command except cke is disabled (low). the mem- ory controller issues a self refresh command during 3v suspend mode when all the internal clocks are stopped. table 5-12. basic command truth table name command cs ras cas we mrs mode register set l l l l pre bank precharge l l h l act bank activate/row address entry ll hh wrt column address entry/write operation lh ll read column address entry/read operation lh lh desl control input inhibit/ no operation hx xx rfsh 1 1. this command is cbr (cas-before-ras) refresh when cke is high and self refresh when cke is low. cbr refresh or auto refresh ll lh table 5-13. mrs cycle address programming ba[1:0] ma[12:7] ma[6:4] ma3 ma[2:0] 00 000000 cas latency: 000 = rsvd 010 = 2 clk 100 = 4 clk 110 = 6 clk 001 = 1 clk 011 = 3 clk 101 = 5 clk 111 = 7 clk 1 burst type is always inter- leave. 001 burst length is always 2. 128-bit transfer.
112 amd geode? gx1 processor data book memory controller revision 4.1 5.3.3.1 sdram initia lization sequence after the clocks have started and stabilized, the memory controller sdram initialization sequence begins: 1) precharge all component banks 2) perform eight refresh cycles 3) perform an mrs cycle 4) perform eight refresh cycles this sequence is compatible with the majority of sdrams available from the various vendors. 5.3.4 memory controller register description the memory controller maps 100h locations starting at gx_base+8400h. however, only 28 bytes are defined and some of these registers will alias across the 100h space. refer to section 5.1.2 "control registers" on page 100 for instructions on accessing these registers. table 5-14 summarizes the 32-bit registers contained in the memory controller. table 5-15 gives detailed register/bit formats. table 5-14. memory controller register summary gx_base+ memory offset type name/function default value 8400h-8403h r/w mc_mem_cntrl1 memory controller control register 1: memory controller configuration information (e.g., refresh interval, sdclk ratio, etc.). bios must pro- gram this register based on the processor frequency and desired sdclk divide ratio. 248c0040h 8404h-8407h r/w mc_mem_cntrl2 memory controller control register 2: memory controller configuration information to control sdclk. bios must program this register based on the processor frequency and the sdclk divide ratio. 00000801h 8408h-840bh r/w mc_bank_cfg memory controller bank configuration: contains the configuration information for the each of the four sdram banks in the memory array. bios must program this register during boot by running an autosizing routine on the memory. 41104110h 840ch-840fh r/w mc_sync_tim1 memory controller synchronous timing register 1: sdram memory timing information - this register controls the memory timing of all four banks of dram. bios must program th is register based on the proces- sor frequency and the sdclk divide ratio. 2a733225h 8414h-8417h r/w mc_gbase_add memory controller graphics base address register: this register sets the graphics memory base address, which is programmable on 512 kb boundaries. the display controller and the graphics pipeline generate a 20-bit dword offset that is added to the graphics memory base address to form the physical memory address. typically, the graphics memory region is located at the top of physical memory. 00000000h 8418h-841bh r/w mc_dr_add memory controller dirty ram address register: this register is used to set the dirty ram address index for processor diagnostic access. this register should be initialized before accessing the mc_dr_acc regis- ter 00000000h 841ch-841fh r/w mc_dr_acc memory controller dirty ram access r egister: this register is used to access the dirty ram. a read/write to this register will access the dirty ram at the address specified in the mc_dr_add register. 0000000xh
amd geode? gx1 processor data book 113 memory controller revision 4.1 table 5-15. memory controller registers bit name description gx_base+ 8400h-8403h mc_mem_cntrl1 (r/w) default value = 248c0040h 31:29 rsvd reserved 28:26 rsvd reserved 25:23 rsvd reserved 22 rsvd reserved: set to 0. 21 rsvd reserved: must be set to 0. wait state on the x-bus x_data during read cycles - for debug only. 20:18 sdclkrate sdram clock ratio: selects sdram clock ratio: 000 = reserved 100 = 3.5 001 = 2 101 = 4 010 = 2.5 110 = 4.5 011 = 3 (default) 111 = 5 ratio does not take effect until the sdclkstrt bit (b it 17 of this register) transitions from 0 to 1. 17 sdclkstrt start sdclk: start operating sdclk using the new ratio and sh ift value (selected in bits [20:18] of this register): 0 = clear; 1 = enable. this bit must transition from zero (written to zero) to one (written to one) in order to start sdclk or to change the shift value. 16:8 rfshrate refresh interval: this field determines the number of proc essor core clocks multiplied by 64 between refresh cycles to the dram. by default, the refresh interval is 00h. refresh is turned off by default. 7:6 rfshstag refresh staggering: this field determines number of cloc ks between the rfsh commands to each of the four banks during refresh cycles: 00 = 0 sdram clocks 10 = 2 sdram clocks 01 = 1 sdram clocks (default) 11 = 4 sdram clocks staggering is used to help reduce power spikes dur ing refresh by refreshing one bank at a time. if only one bank is installed, this field must be set to 00. 5 2clkaddr two clock address setup: assert memory address for one extra clock before cs# is asserted: 0 = disable; 1 = enable. this can be used to compensate for addres s setup at high frequencies and/or high loads. 4rfshtst test refresh: this bit, when set high, generates a refresh re quest. this bit is only used for testing pur- poses. 3 xbusarb x-bus round robin: when enabled, processor, graphics pipeli ne and non-critical display controller requests are arbitrated at the same priority level. when disabled, processor req uests are arbitrated at a higher priority level. high priority display controller requests always have the highest arbitration priority: 0 = enable; 1 = disable. 2 smm_map smm region mapping: map the smm memory region at gx_base+400000 to physical address a0000 to bffff in sdram: 0 = disable; 1 = enable. 1 rsvd reserved: set to 0. 0 sdramprg program sdram: when this bit is set the memory controll er will program the sdram mrs register using ltmode in mc_sync_tim1. this bit must transition from zero (written to ze ro) to one (written to one) in order to program the sdram devices. gx_base+8404h-8407h mc_mem_cntrl2 (r/w) default value = 00000801h 31:14 rsvd reserved: set to 0. 13:11 rsvd reserved 10 sdclkomsk# enable sdclk_out: turn on the output. 0 = enabled; 1 = disabled. 9 sdclk3msk# enable sdclk3: turn on the output. 0 = enabled; 1 = disabled. 8 sdclk2msk# enable sdclk2: turn on the output. 0 = enabled; 1 = disabled. 7 sdclk1msk# enable sdclk1: turn on the output. 0 = enabled; 1 = disabled. 6 sdclk0msk# enable sdclk0: turn on the output. 0 = enabled; 1 = disabled.
114 amd geode? gx1 processor data book memory controller revision 4.1 5:3 shftsdclk shift sdclk: this function allows shifting sdclk to meet sdram setup and hold time requirements. the shift function will not take effect until the sdcl kstrt bit (bit 17 of mc_mem_cntrl1) transitions from 0 to 1: 000 = no shift 100 = shift 2 core clocks 001 = shift 0.5 core clock 101 = shift 2.5 core clocks 010 = shift 1 core clock 110 = shift 3 core clocks 011 = shift 1.5 core clock 111 = reserved refer to figure 5-10 on page 123 for an example of sdclk shifting. 2 rsvd reserved: set to 0. 1rd read data phase: selects if read data is latched one or two core clock after the rising edge of sdclk: 0 = 1 core clock; 1 = 2 core clocks. 0fstrdmsk fast read mask: do not allow core reads to bypass the request fifo: 0 = disable; 1 = enable. gx_base+8408h-840bh mc_bank_cfg (r/w) default value = 41104110h 31 rsvd reserved: set to 0. 30 dimm1_ mod_bnk dimm1 module banks (banks 2 and 3): selects the number of module banks installed per dimm for dimm1: 0 = 1 module bank (bank 2 only) 1 = 2 module banks (bank 2 and 3) 29 rsvd reserved: set to 0. 28 dimm1_ comp_bnk dimm1 component banks (banks 2 and 3): selects the number of component banks per module bank for dimm1: 0 = 2 component banks 1 = 4 component banks banks 2 and 3 must have the same number of component banks. 27 rsvd reserved: set to 0. 26:24 dimm1_sz dimm1 size (banks 2 and 3): selects the size of dimm1: 000 = 4 mb 010 = 16 mb 100 = 64 mb 110 = 256 mb 001 = 8 mb 011 = 32 mb 101 = 128 mb 111 = 512 mb this size is the total of both banks 2 and 3. also, banks 2 and 3 must be the same size. 23 rsvd reserved: set to 0. 22:20 dimm1_pg_sz dimm1 page size (banks 2 and 3): selects the page size of dimm1: 000 = 1 kb 010 = 4 kb 1xx = 16 kb 001 = 2 kb 011 = 8 kb 111 = dimm1 not installed both banks 2 and 3 must have the same page size. when dimm1 (neither bank 2 or 3) is not installed, program all other dimm1 fields to 0. 19:15 rsvd reserved: set to 0. 14 dimm0_ mod_bnk dimm0 module banks (banks 0 and 1): selects number of module banks installed per dimm for dimm0: 0 = 1 module bank (bank 0 only) 1 = 2 module banks (bank 0 and 1) 13 rsvd reserved: set to 0. 12 dimm0_ comp_bnk dimm0 component banks (banks 0 and 1): selects the number of component banks per module bank for dimm0: 0 = 2 component banks 1 = 4 component banks banks 0 and 1 must have the same number of component banks. 11 rsvd reserved: set to 0. 10:8 dimm0_sz dimm0 size (banks 0 and 1): selects the size of dimm1: 000 = 4 mb 010 = 16 mb 100 = 64 mb 110 = 256 mb 001 = 8 mb 011 = 32 mb 101 = 128 mb 111 = 512 mb this size is the total of both banks 0 and 1. also, banks 0 and 1 must be the same size. 7 rsvd reserved: set to 0. table 5-15. memory controller registers (continued) bit name description
amd geode? gx1 processor data book 115 memory controller revision 4.1 6:4 dimm0_pg_sz dimm0 page size (banks 0 and 1): selects the page size of dimm0: 000 = 1 kb 010 = 4 kb 1xx = 16 kb 001 = 2 kb 011 = 8 kb 111 = dimm0 not installed both banks 0 and 1 must have the same page size. when dimm0 (neither bank 0 or 1) is not installed, program all other dimm0 fields to 0. 3:0 rsvd reserved : set to 0. gx_base+840ch-840fh mc_sync_tim1 (r/w) default value = 2a733225h 31 rsvd reserved: set to 0. 30:28 ltmode cas latency (ltmode): cas latency is the delay, in sdram clock cycles, between the registration of a read command and the availability of the first piece of output data. this parameter significantly affects system performance. optimal setting should be us ed. if dimms are used, bios can interrogate eeprom across the i 2 c interface to determine this value: 000 = reserved 010 = 2 clk 100 = 4 clk 110 = 6 clk 001 = reserved 011 = 3 clk 101 = 5 clk 111 = 7 clk this field will not take effect until sdramprg (bit 0 of mc_mem_ cntrl1) transitions from 0 to 1. 27:24 rc rfsh to rfsh/act command period (trc): minimum number of sdram clock between rfsh and rfsh/act commands: 0000 = reserved 0100 = 5 clk 1000 = 9 clk 1100 = 13 clk 0001 = 2 clk 0101 = 6 clk 1001 = 10 clk 1101 = 14 clk 0010 = 3 clk 0110 = 7 clk 1010 = 11 clk 1110 = 15 clk 0011 = 4 clk 0111 = 8 clk 1011 = 12 clk 1111 = 16 clk 23:20 ras act to pre command period (tras): minimum number of sdram clocks between act and pre commands: 0000 = reserved 0100 = 5 clk 1000 = 9 clk 1100 = 13 clk 0001 = 2 clk 0101 = 6 clk 1001 = 10 clk 1101 = 14 clk 0010 = 3 clk 0110 = 7 clk 1010 = 11 clk 1110 = 15 clk 0011 = 4 clk 0111 = 8 clk 1011 = 12 clk 1111 = 16 clk 19 rsvd reserved: set to 0. 18:16 rp pre to act command period (trp): minimum number of sdram clocks between pre and act commands: 000 = reserved 010 = 2 clk 100 = 4 clk 110 = 6 clk 001 = 1 clk 011 = 3 clk 101 = 5 clk 111 = 7 clk 15 rsvd reserved: set to 0. 14:12 rcd delay time act to read/wrt command (trcd): minimum number of sdram clock between act and read/wrt commands. this parameter significan tly affects system performance. optimal setting should be used: 000 = reserved 010 = 2 clk 100 = 4 clk 110 = 6 clk 001 = 1 clk 011 = 3 clk 101 = 5 clk 111 = 7 clk 11 rsvd reserved: set to 0. 10:8 rrd act(0) to act(1) command period (trrd): minimum number of sdram clocks between act and act command to two different component banks within the same module bank. the memory controller does not perform back-to-back activate commands to two different component banks without a read or write command between them. hence, this field should be set to 001. 7 rsvd reserved: set to 0. 6:4 dpl data-in to pre command period (tdpl): minimum number of sdram clocks from the time the last write datum is sampled till the bank is precharged: 000 = reserved 010 = 2 clk 100 = 4 clk 110 = 6 clk 001 = 1 clk 011 = 3 clk 101 = 5 clk 111 = 7 clk 3:0 rsvd reserved: leave unchanged. always returns a 101h. note: refer to the sdram manufacturer?s specific ation for more information on component banks. table 5-15. memory controller registers (continued) bit name description
116 amd geode? gx1 processor data book memory controller revision 4.1 5.3.5 address translation the memory controller supports two address translations depending on the method used to interleave pages. the hardware automatically enables high order interleaving. low order interleaving is automatically enabled only under specific memory configurations. 5.3.5.1 high order interleaving high order interleaving (hoi) uses the most significant address bits to select which bank the page is located in. this interleaving scheme works with any mixture of dimm types. however, it spreads the pages over wide address ranges. for example, two 8 mb dimms contain a total of four component pages. two pages are together in one dimm separated from the other two pages by 8 mb. 5.3.5.2 auto low order interleaving the memory controller require s that banks [0:1] if both installed, be identical and banks [2:3] if both installed, be identical. when banks [0:1] are installed or banks [2:3] are installed auto low order interleaving (loi) is in effect for those bank pairs. therefore each dimm (banks [0:1] or [2:3]) must have the same number of dimm banks, compo- nent banks, module sizes and page sizes. loi uses the least significant bits after the page bits to select which bank the page is located in. this requires that memory is a power of 2, that the number of banks is a power of 2, and that the page sizes are the same. as stated before, for loi to work, the dimms have to be of the same type. loi does give a good benefit by providing a moving page throughout memory. using the same example as above, two banks would be on one dimm and the next two banks would be on the second dimm, but they would be linear in address space. for an eight bank system that has 1 kb address (8 kb data) pages, there would be an effec- tive moving page of 64 kb of data. 5.3.5.3 physical address to dram address conversion tables 5-16 and 5-17 give auto loi address conversion examples when two dimms of the same size are used in a system. table 5-16 shows a one dimm bank conversion example, while table 5-17 shows a two dimm bank exam- ple. tables 5-18 and 5-19 give non-auto loi address conver- sion examples when either one or two dimms of different sizes are used in a system. table 5-18 shows a one dimm bank address conversion example, while table 5-19 shows a two dimm bank example. the addresses are computed on a per dimm basis. since the dram interface is 64 bits wide, the lower three bits of the physical address get mapped onto the dqm[7:0] lines. thus, the address conversion tables (tables 5-16 through 5-19) show the physical address starting from a3. gx_base+8414h-8417h mc_gbase_add (r/w) default value = 00000000h 31:18 rsvd reserved: set to 0. 17 te test enable test[3:0]: 0 = test[3:0] are driven low (normal operation) 1 = test[3:0] pins are used to output test information 16 tectl test enable shared control pins: 0 = rasb#, casb#, ckeb, web# (normal operation) 1 = rasb#, casb#, ckeb, web# are used to output test information 15:12 sel select: this field is used for debug purposes only and should be left at zero for normal operation. 11 rsvd reserved: set to 0. 10:0 gbadd graphics base address: this field indicates the graphics me mory base address, which is program- mable on 512 kb boundaries. this field corresponds to address bits [29:19]. note that bc_dram_top must be set to a value lower than the graphics base address. gx_base+8418h-841bh mc_dr_add (r/w) default value = 00000000h 31:10 rsvd reserved: set to 0. 9:0 dradd dirty ram address: this field is the address index that is used to access the dirty ram with the mc_dr_acc register. this field does not auto increment. gx_base+841ch-841fh mc_dr_acc (r/w) default value = 0000000xh 31:2 rsvd reserved: set to 0. 1d dirty bit: this bit is read/write accessible. 0v valid bit: this bit is read /write accessible. table 5-15. memory controller registers (continued) bit name description
amd geode? gx1 processor data book 117 memory controller revision 4.1 table 5-16. auto loi -- 2 dimms, same size, 1 dimm bank 1 kb page size 2 kb page size 4 kb page size 1 kb page size 2 kb page size 4 kb page size row col row col row col row col row col row col address 2 component banks 4 component banks ma12 a24 -- a25 -- a26 a25 -- a26 -- a27 ma11 a23 -- a24 -- a25 a24 -- a25 -- a26 ma10 a22 -- a23 -- a24 a23 -- a24 -- a25 ma9 a21 -- a22 -- a23 a22 -- a23 -- a24 ma8 a20 -- a21 -- a22 a11 a21 -- a22 -- a23 a11 ma7 a19 -- a20 a10 a21 a10 a20 -- a21 a10 a22 a10 ma6 a18 a9 a19 a9 a20 a9 a19 a9 a20 a9 a21 a9 ma5 a17 a8 a18 a8 a19 a8 a18 a8 a19 a8 a20 a8 ma4 a16 a7 a17 a7 a18 a7 a17 a7 a18 a7 a19 a7 ma3 a15 a6 a16 a6 a17 a6 a16 a6 a17 a6 a18 a6 ma2 a14 a5 a15 a5 a16 a5 a15 a5 a16 a5 a17 a5 ma1 a13 a4 a14 a4 a15 a4 a14 a4 a15 a4 a16 a4 ma0 a12 a3 a13 a3 a14 a3 a13 a3 a14 a3 a15 a3 cs0#/cs1# a11 a12 a13 a12 a13 a14 cs2#/cs3# -- -- -- -- -- -- ba0/ba1 a10 a11 a12 a11/a10 a12/a11 a13/a12 table 5-17. auto loi -- 2 dimms, same size, 2 dimm banks 1 kb page size 2 kb page size 4 kb page size 1 kb page size 2 kb page size 4 kb page size row col row col row col row col row col row col address 2 component banks 4 component banks ma12 a25 -- a26 -- a27 a26 -- a27 -- a28 -- ma11 a24 -- a25 -- a26 a25 -- a26 -- a27 -- ma10 a23 -- a24 -- a25 a24 -- a25 -- a26 -- ma9 a22 -- a23 -- a24 a23 -- a24 -- a25 -- ma8 a21 -- a22 -- a23 a11 a22 -- a23 -- a24 a11 ma7 a20 -- a21 a10 a22 a10 a21 -- a22 a10 a23 a10 ma6 a19 a9 a20 a9 a21 a9 a20 a9 a21 a9 a22 a9 ma5 a18 a8 a19 a8 a20 a8 a19 a8 a20 a8 a21 a8 ma4 a17 a7 a18 a7 a19 a7 a18 a7 a19 a7 a20 a7 ma3 a16 a6 a17 a6 a18 a6 a17 a6 a18 a6 a19 a6 ma2 a15 a5 a16 a5 a17 a5 a16 a5 a17 a5 a18 a5 ma1 a14 a4 a15 a4 a16 a4 a15 a4 a16 a4 a17 a4 ma0 a13 a3 a14 a3 a15 a3 a14 a3 a15 a3 a16 a3 cs0#/cs1# a12 a13 a14 a13 a14 a15 cs2#/cs3# a11 a12 a13 a12 a13 a14 ba0/ba1 a10 a11 a12 a11/a10 a12/a11 a13/a12
118 amd geode? gx1 processor data book memory controller revision 4.1 table 5-18. non-auto loi -- 1 or 2 dimms, different sizes, 1 dimm bank 1 kb page size 2 kb page size 4 kb page size 1 kb page size 2 kb page size 4 kb page size row col row col row col row col row col row col address 2 component banks 4 component banks ma12 a23 -- a24 -- a25 -- a24 -- a25 -- a26 ma11 a22 -- a23 -- a24 -- a23 -- a24 -- a25 ma10 a21 -- a22 -- a23 -- a22 -- a23 -- a24 ma9 a20 -- a21 -- a22 -- a21 -- a22 -- a23 ma8 a19 -- a20 -- a21 a11 a20 -- a21 -- a22 a11 ma7 a18 -- a19 a10 a20 a10 a19 -- a20 a10 a21 a10 ma6 a17 a9 a18 a9 a19 a9 a18 a9 a19 a9 a20 a9 ma5 a16 a8 a17 a8 a18 a8 a17 a8 a18 a8 a19 a8 ma4 a15 a7 a16 a7 a17 a7 a16 a7 a17 a7 a18 a7 ma3 a14 a6 a15 a6 a16 a6 a15 a6 a16 a6 a17 a6 ma2 a13 a5 a14 a5 a15 a5 a14 a5 a15 a5 a16 a5 ma1 a12 a4 a13 a4 a14 a4 a13 a4 a14 a4 a15 a4 ma0 a11 a3 a12 a3 a13 a3 a12 a3 a13 a3 a14 a3 cs0#/cs1#-- ---- ------ cs2#/cs3#-- ---- ------ ba0/ba1 a10 a11 a12 a11/a10 a12/a11 a13/a12 table 5-19. non-auto loi -- 1 or 2 dimms, different sizes, 2 dimm banks 1 kb page size 2 kb page size 4 kb page size 1 kb page size 2 kb page size 4 kb page size row col row col row col row col row col row col address 2 component banks 4 component banks ma12 a24 -- a25 -- a26 -- a25 -- a26 -- a27 -- ma11 a23 -- a24 -- a25 -- a24 -- a25 -- a26 -- ma10 a22 -- a23 -- a24 -- a23 -- a24 -- a25 -- ma9 a21 -- a22 -- a23 -- a22 -- a23 -- a24 -- ma8 a20 -- a21 -- a22 a11 a21 -- a22 -- a23 a11 ma7 a19 -- a20 a10 a21 a10 a20 -- a21 a10 a22 a10 ma6 a18 a9 a19 a9 a20 a9 a19 a9 a20 a9 a21 a9 ma5 a17 a8 a18 a8 a19 a8 a18 a8 a19 a8 a20 a8 ma4 a16 a7 a17 a7 a18 a7 a17 a7 a18 a7 a19 a7 ma3 a15 a6 a16 a6 a17 a6 a16 a6 a17 a6 a18 a6 ma2 a14 a5 a15 a5 a16 a5 a15 a5 a16 a5 a17 a5 ma1 a13 a4 a14 a4 a15 a4 a14 a4 a15 a4 a16 a4 ma0 a12 a3 a13 a3 a14 a3 a13 a3 a14 a3 a15 a3 cs0#/cs1# a11 a12 a13 a12 a13 a14 cs2#/cs3# -- -- ba0/ba1 a10 a11 a12 a11/a10 a12/a11 a13/a12
amd geode? gx1 processor data book 119 memory controller revision 4.1 5.3.6 memory cycles figures 5-5 through 5-8 illu strate various memory cycles that the memory controller supports. the following subsec- tions describe some of the supported cycles. sdram read cycle figure 5-5 shows a sdram read cycle. the figure assumes that a previous act command has presented the row address for the read operat ion. note that the burst length for the read command is always two. figure 5-5. basic read cycle with a cas latency of two sdclk cs# ras# cas# we# dqm md ma col n nn+1
120 amd geode? gx1 processor data book memory controller revision 4.1 sdram write cycle figure 5-6 shows a sdram write cycle. the burst length for the wrt command is two. figure 5-6. basic write cycle sdclk cs# ras# cas# we# ma col n nn+1 md nn+1 dqm
amd geode? gx1 processor data book 121 memory controller revision 4.1 sdram refresh cycle figure 5-7 shows a sdram au to refresh cycle. the mem- ory controller always precedes the refresh cycle with a pre command to all banks. page miss figure 5-8 shows a read/wrt command after a page miss cycle. in order to program the new row address, a pre command must be issued followed by an act com- mand. figure 5-7. auto refresh cycle figure 5-8. read/wrt command to a new row address sdclk cs# ras# cas# we# ma[10] sdclk command address trp trcd pre nop nop act nop nop r/w nop row col ba
122 amd geode? gx1 processor data book memory controller revision 4.1 5.3.7 sdram interface clocking the gx1 processor drives th e sdclk to the sdrams; one for each dimm bank. all the control, data, and address sig- nals driven by the memory co ntroller are sampled by the sdram at the rising edge of sdclk. sdclkout is a ref- erence signal used to generate sdclkin. read data is sampled by the memory controller at the rising edge of sdclkin. the delay for sdclkin from sdclkout must be designed so that it matches the sdclks timing at the dram (check application notes for additional information). all four sdclk traces on the board should be the same length, so there is no sk ew between them. these guide- lines allow the memory interface to operate at a higher per- formance. figure 5-9. sdclkin clocking dimm 0 dimm 1 sdclk[3:0] delay sdclkout sdclkin amd geode? sdclk0 sdclk1 sdclk2 sdclk3 gx1 processor
amd geode? gx1 processor data book 123 memory controller revision 4.1 the sdram interface timings are programmable. the shftsdclk bits in the mc _mem_cntrl2 register can be used to change the relationship between sdclk and the control/address/data signals to meet setup and hold time requirements for sdram across different board lay- outs. shftsdclk bit values are selected based upon the sdram signals loads and the core frequency (refer to fig- ures figure 7-10 and figure 7-11 on page 197). figure 5-10 shows an example of how the shftsdclk bits setting affects sdclk. the pci clock is the input clock to the gx1 processor. the core clock is the internal proces- sor clock that is multiplied up. the memory controller runs off this core clock. the memory clock is generated by divid- ing down the core clock. sdclk is generated from the memory clock. in the example diagram, the processor clock is running 6x times the pci clock and the memory clock is running in divide by 3 mode. the sdram control, address, and data signals are driven off edge ?x 1 ? of the memory clock to be setup before edge ?y 1 ?. with no shift applied, the control signals could end up being latched on edge ?x 2 ? of the sdclk. a shift value of two or three could be used so that sdclk at the sdram is centered around when the control signals change. figure 5-10. effects of shftsdclk programming bits example 0123 4 5 6 pci clock core clock memory clock (internal) (internal) cntrl sdclk sdclk (note) (note) note: the first sdclk shows how sdclk operates with the shftsdclk bits = 000, no shift. the second sdclk shows how sdclk operates with the shftsdclk bits = 001, shift 0.5 core clock. (see mc_memcntrl2 bits [5:3], table 5-15 on page 113, for remaining decode values.) 1 0 2 3 4 shift = valid x2 y2 x1 y1
124 amd geode? gx1 processor data book graphics pipeline revision 4.1 5.4 graphics pipeline the graphics pipeline of the gx1 processor contains a 2d graphics accelerator. this hardware accelerator has a bit- blt/vector engine which dram atically improves graphics performance when rendering and moving graphical objects. overall operating system performance is improved as well. the accelerator hardware supports pattern gener- ation, source expansion, patt ern/source transparency, and 256 ternary raster operations. the block diagram of the graphics pipeline is shown in figure 5-11. 5.4.1 bitblt/vector engine blts are initiated by writing to the gp_blt_mode regis- ter, which specifies the type of source data (none, frame buffer, or blt buffer), the type of the destination data (none, frame buffer, or blt buffer), and a source expansion flag. vectors are initiated by writing to the gp_vector_mode register (gx_base+8204h), whic h specifies the direction of the vector and a ?read destination data? flag. if the flag is set, the hardware will read destination data along the vec- tor and store it temporarily in the blt buffer 0. the blt buffers use a portion of the l1 cache, called ?scratchpad ram?, to temporar ily store source and destina- tion data, typically on a scan line basis. see section 5.1.4.2 "scratchpad ram utilization" on page 101 for an explana- tion of scratchpad ram. the hardware automatically loads frame-buffer data (source or de stination) into the blt buff- ers for each scan line. the driver is responsible for making sure that this does not overflow the memory allocated for the blt buffers. when the source data is a bitmap, the hardware loads the data directly into the blt buffer at the beginning of the blt operation. figure 5-11. graphics pipeline block diagram pattern hardware raster operation output aligner be pat src dst be internal bus interface unit graphics scratchpad ram bitblt buffers and memory x-bus c-bus pipeline be = byte enable pat = pattern data src = source data dst = destination data output aligner source expansion control logic dram interface register access controller key:
amd geode? gx1 processor data book 125 graphics pipeline revision 4.1 5.4.2 master/slave registers when starting a bitblt or vector operation, the graphics pipeline registers are latched from the master registers to the slave registers. a second bitblt or vector operation can then be loaded into the master registers while the first operation is rendered. if a second blt is pending in the master registers, any write operations to the graphics pipe- line registers will corrupt the values of the pending blt. software must prevent this from happening by checking the ?blt pending? bit in the gp_blt_status register (gx_base+820ch[2]). most of the graphics pipeline registers are latched directly from the master registers to the slave registers when start- ing a new bitblt or vector operation. some registers, how- ever, use the updated slave values if the master registers have not been written, which allows software to render suc- cessive primitives without loading some of the registers as outlined in table 5-20. 5.4.3 pattern generation the graphics pipeline contains hardware support for 8x8 monochrome patterns (expanded to two colors), 8x8 dither patterns (expanded to four colors), and 8x1 color patterns. the pattern hardware, however, does not maintain a pat- tern origin, so the pattern data must be justified before it is loaded into the gx1 processor?s registers. for solid primi- tives, the pattern hardware is disabled and the pattern color is always sourced from the gp_pat_color_0 register (gx_base+8110h). table 5-20. graphics pipeline registers master function gp_dst_xcoor next x position along vector. master register if written, otherwise: unchanged slave if blt, source mode = bitmap. slave + width if blt, source mode = text glyph gp_dst_ycoor next y position along vector. master register if written, otherwise: slave +/- height if blt, source mode = bitmap. unchanged slave if blt, source mode = text glyph. gp_init_error master register if written, otherwise: initial error for the next pixel along the vector. gp_src_ycoor master register if written, otherwise: slave +/- height if blt, source mode = bitmap.
126 amd geode? gx1 processor data book graphics pipeline revision 4.1 5.4.3.1 monochrome patterns setting the pattern mode to 01b (gx_base+8200h[9:8] = 01b) in the gp_raster_mode register selects the monochrome patterns (see bit details on page 131). those pixels corresponding to a clear bit (0) in the pattern are ren- dered using the color specified in the gp_pat_color_0 (gx_base+8110h ) register, and those pixels correspond- ing to a set bit (1) in the pattern are rendered using the color specified in the gp_pat_color_1 register (gx_base+8112h). if the pattern transparency bit is set high in the gp_raster_mode register, those pixels corresponding to a clear bit in the pattern data are not drawn. monochrome patterns use registers gp_pat_data_0 (gx_base+ memory offset 8120h) and gp_pat_data_1 (gx_base+ memory offset 81 24h) for the pattern data. bits [7:0] of gp_pat_data_0 correspond to the first row of the pattern, and bit 7 corresponds to the leftmost pixel on the screen. how the pattern and the registers fully relate is illustrated in figure 5-12. figure 5-12. example of monochrome patterns 5.4.3.2 dither patterns setting the pattern mode to 10b (gx_base+8200h[9:8] = 10b) in the gp_raster_mode register selects the dither patterns. two bits of pattern data are used for each pixel, allowing color expansion to four colors. the colors are specified in the gp_pat_color_0 through gp_pat_color_3 registers (table 5-24 on page 129). dither patterns use all 128 bits of pattern data. bits [15:0] of gp_pat_data_0 correspond to the first row of the pat- tern (the lower byte contains the least significant bit of each pixel?s pattern color and the upper byte contains the most significant bit of each pixel?s pattern color). this is illus- trated in figure 5-13. figure 5-13. example of dither patterns gp_pat_data_0 (gpd0) = 0x80412214 gp_pat_data_1 (gpd1) = 0x08142241 14 22 41 80 41 22 14 08 gpd0[7:0] gpd0[15:8] gpd0[23:16] gpd0[31:24] gpd1[7:0] gpd1[15:8] gpd1[23:16] gpd1[31:24] 00aa 4411 00aa 1155 00aa 4411 00aa 1155 gp_pat_data_0 (gpd0) = 0x441100aa gp_pat_data_1 (gpd1) = 0x115500aa gp_pat_data_2 (gpd2) = 0x441100aa gp_pat_data_3 (gpd3) = 0x115500aa gpd0[15:0] gpd0[31:16] gpd1[15:0] gpd1[31:16] gpd2[15:0] gpd2[31:16] gpd3[15:0] gpd3[31:16] 01 00 11 10 01 00 01 00 01 00 00 00 01 10 00 00 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 00 00 00 00 00 00 00 00 11 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 11 11 00 0000 00 1 1010 0 1 0 00 aa 10 10 10
amd geode? gx1 processor data book 127 graphics pipeline revision 4.1 5.4.3.3 color patterns setting the pattern mode to 11b (gx_base+8200h[9:8] = 11b), in the gp_raster_mode register selects the color patterns. bits [63:0] are used to hold a row of pattern data for an 8-bpp pattern, with bi ts [7:0] corresponding to the leftmost pixel of the row. likewise, bits [127:0] are used for a 16-bpp color pattern, with bits [15:0] corresponding to the leftmost pixel of the row. to support an 8x8 color pattern, software must load the pattern data for each row. 5.4.4 source expansion the graphics pipeline contains hardware support for color expansion of source data (primarily used for text). those pixels corresponding to a clear bit (0) in the source data are rendered using the color specified in the gp_src_color_0 register (gx_base+810ch), and those pixels corresponding to a set bit (1) in the source data are rendered using t he color specified in the gp_src_color_1 register (gx_base+810eh). if the source transparency bit is set in the gp_raster_mode register, those pixels corresponding to a clear bit (0) in the source data are not drawn. 5.4.5 raster operations the gp_raster_mode register specifies how the pat- tern data, source data (color-expanded if necessary), and destination data are combined to produce the output to the frame buffer. the definition of the rop value matches that of the microsoft api (application programming interface). this allows windows display drivers to load the raster oper- ation directly into hardware. table 5-21 illustrates this defi- nition. some common raster operations are described in table 5-22. table 5-21. gp_raster_mode bit patterns pattern (bit) source (bit) destination (bit) output (bit) 00 0rop[0] 00 1rop[1] 01 0rop[2] 01 1rop[3] 10 0rop[4] 10 1rop[5] 11 0rop[6] 11 1rop[7] table 5-22. common raster operations rop description f0h output = pattern cch output = source 5ah output = pattern xor destination 66h output = source xor destination 55h output = ~destination
128 amd geode? gx1 processor data book graphics pipeline revision 4.1 5.4.6 graphics pipeline register descriptions the graphics pipeline maps 200h locations starting at gx_base+8100h. however, only 72 bytes are defined and some of these registers will alias across the 200h space. refer to section 5.1.2 "control registers" on page 100 for instructions on accessing thes e registers. table 5-23 sum- marizes the graphics pipeline registers and table 5-24 gives detailed register/bit formats. table 5-23. graphics pipeline configuration register summary gx_base+ memory offset type name / function default value 8100h-8103h r/w gp_dst/start_y/xcoor destination/starting y and x coordinates register: in blt mode this register specifies the destination y and x positions for a blt operation. in vector mode it specifies the starting y and x positions in a vector. 00000000h 8104h-8107h r/w gp_width/height and gp_vector_length/init_error width/height or vector length/initial error register: in blt mode this register specifies the blt width and height in pixels. in vector mode it specifies the vector initial error and pixel length. 00000000h 8108h-810bh r/w gp_src_x/ycoor and gp_axial/diag_error source x/y coordinate axial/diagonal error register: in blt mode this register specifies the blt x and y source. in vector mode it specifies the axial and diagonal error for rendering a vector. 00000000h 810ch-810fh r/w gp_src_color_0 and gp_src_color_1 source color register: determines the colors used when expanding monochrome source data in either the 8-bpp mode or the 16-bpp mode. 00000000h 8110h-8113h r/w gp_pat_color_0 and gp_pat_color_1 graphics pipeline pattern color regist ers 0 and 1: these two registers determine the colors used when expanding pattern data. 00000000h 8114h-8117h r/w gp_pat_color_2 and gp_pat_color_3 graphics pipeline pattern color registers 2 and 3:these two registers determine the colors used when expanding pattern data. 00000000h 8120h-8123h r/w gp_pat_data 0 through 3 graphics pipeline pattern data registers 0 through 3: together these registers contain 128 bi ts of pattern data. gp_pat_data_0 corresponds to bits [31:0] of t he pattern data. gp_pat_data_1 corresponds to bits [63:32] of the pattern data. gp_pat_data_2 corresponds to bits [95:64] of the pattern data. gp_pat_data_3 corresponds to bits [127:96] of the pattern data. 00000000h 8124h-8127h r/w 00000000h 8128h-812bh r/w 00000000h 812ch-812fh r/w 00000000h 8140h-8143h 1 r/w gp_vga_write graphics pipeline vga write patch control register: controls the vga memory write path in the graphics pipeline. xxxxxxxxh 8144h-8147h 1 r/w gp_vga_read graphics pipeline vga read patch control register: controls the vga memory read path in the graphics pipeline. 00000000h 8200h-8203h r/w gp_raster_mode graphics pipeline raster mode register: this register controls the manipulation of the pixel data through the graphics pipeline. refer to section 5.4.5 "raster operations" on page 127. 00000000h
amd geode? gx1 processor data book 129 graphics pipeline revision 4.1 8204h-8207h r/w gp_vector_mode graphics pipeline vector mode register: writing to this register initiates the rendering of a vector. 00000000h 8208h-820bh r/w gp_blt_mode graphics pipeline blt mode register: writing to this initiates a blt operation. 00000000h 820ch-820fh r/w gp_blt_status graphics pipeline blt status register: contains configuration and sta- tus information for the blt engine. th e status bits are contained in the lower byte of the register. 00000000h 8210h-8213h 1 r/w gp_vga_base graphics pipeline vga memory base address register: specifies the offset of the vga memory, starting from the base of graphics memory. xxxxxxxxh 8214h-8217h 1 r/w gp_vga_latch graphics pipeline vga display latch register: provides a memory mapped way to read or write the vga display latch. xxxxxxxxh 1. the registers at gx_base+8140, 8144h, 8210h, and 8214h are located in the area designated for the graphics pipeline but are used for vga emulation purposes. refer to table 5-39 on page 161 for these register?s bit formats table 5-23. graphics pipeline configur ation register summary (continued) gx_base+ memory offset type name / function default value table 5-24. graphics pipeline configuration registers bit name description gx_base+8100h-8103h gp_dst/start_x/ycoor register (r/w) default value = 00000000h 31:16 destination/starting y position (signed): blt mode: specifies the destination y position for a blt operation. vector mode: specifies the starting y position in a vector. 15:0 destination/starting x position (signed): blt mode: specifies the destination x position for a blt operation. vector mode: specifies the starting x position in a vector. gx_base+8104h-8107h gp_width/height and default value = 00000000h gp_vector_length/init_error register (r/w) 31:16 pixel_width or vector_length (unsigned ): blt mode: specifies the width, in pixels, of a blt op eration. no pixels are rendered for a width of zero. vector mode: bits [31:30] are reserved in this mode allowing this 14-bit field to specify the lengt h, in pixels, of a vector. n o pixels are rendered for a length of zero. this field is limited to 14 bits due to a la ck of precision in the registers used to hold the error terms. 15:0 pixel_height or vector_initial_error (unsigned): blt mode: specifies the height, in pixels, of a blt oper ation. no pixels are rendered for a height of zero. vector mode: specifies the initial error for rendering a vector. gx_base+8108h-810bh gp_scr_x/ycoor and gp_axial/diag_error register (r/w) default value = 00000000h 31:16 src_x_pos or vector_axial_error (signed): blt mode: specifies the source x position for a blt operation. vector mode: specifies the axial error for rendering a vector. 15:0 src_y_pos or vector_diag_error (signed): source y position (signed): specifies the source y position for a blt operation. vector mode: specifies the diagona l error for rendering a vector.
130 amd geode? gx1 processor data book graphics pipeline revision 4.1 gx_base+810ch-810dh gp_src_color_0 register (r/w) default value = 0000h 15:0 8-bpp mode: 8-bpp color: the color index must be duplicated in the upper byte. 16-bpp mode : 16-bpp color (rgb) gx_base+810eh-810fh gp_src_color_1 register (r/w) default value = 0000h 15:0 8-bpp mode: 8-bpp color: the color index must be duplicated in the upper byte. 16-bpp mode : 16-bpp color (rgb) note: the graphics pipeline source colo r register specifies the colors used when expanding monochrome source data in either the 8-bpp mode or the 16-bpp mode. those pixels corresponding to clear bits (0) in the source data are rendered using gp_src_color_0 and those pixels corresponding to set bits (1) in the source data are rendered using gp_src_color_1. gx_base+8110h-8111h gp_pat_color_0 register (r/w) default value = 0000h 15:0 8-bpp mode: 8-bpp color: the color index must be duplicated in the upper byte. 16-bpp mode: 16-bpp color (rgb) note: the graphics pipeline pattern color 0-3 registers specify the colors used when expanding pattern data. gx_base+8112h-8113h gp_pat_color_1 register (r/w) default value = 0000h 15:0 8-bpp mode: 8-bpp color: the color index must be duplicated in the upper byte. 16-bpp mode: 16-bpp color (rgb) note: the graphics pipeline pattern color 0-3 registers specify the colors used when expanding pattern data. gx_base+8114h-8115h gp_pat_color_2 register (r/w) default value = 0000h 15:0 8-bpp mode: 8-bpp color: the color index must be duplicated in the upper byte. 16-bpp mode: 16-bpp color (rgb) note: the graphics pipeline pattern color 0-3 registers specify the colors used when expanding pattern data. gx_base+8116h-8117h gp_pat_color_3 register (r/w) default value = 0000h 15:0 8-bpp mode: 8-bpp color: the color index must be duplicated in the upper byte. 16-bpp mode: 16-bpp color (rgb) note: the graphics pipeline pattern color 0-3 registers specify the colors used when expanding pattern data. gx_base+8120h-8123h gp_pat_data_0 register (r/w) default value = 00000000h 31:0 gp pattern data register 0: the graphics pipeline pattern data registers 0 th rough 3 together contain 128 bits of pattern data. the gp_pat_data_0 register corresponds to bits [31:0] of the pattern data. gx_base+8124h-8127h gp_pat_data_1 register (r/w) default value = 00000000h 31:0 gp pattern data register 1: the graphics pipeline pattern data registers 0 th rough 3 together contain 128 bits of pattern data. the gp_pat_data_1 register corresponds to bits [63:32] of the pattern data. gx_base+8128h-812bh gp_pat_data_2 register (r/w) default value = 00000000h 31:0 gp pattern data register 2: the graphics pipeline pattern data registers 0 th rough 3 together contain 128 bits of pattern data. the gp_pat_data_2 register corresponds to bits [95:64] of the pattern data. gx_base+812ch-812fh gp_pat_data_3 register (r/w) default value = 00000000h 31:0 gp pattern data register 3: the graphics pipeline pattern data registers 0 th rough 3 together contain 128 bits of pattern data. the gp_pat_data_3 register corresponds to bits [127:96] of the pattern data. gx_base+8140h-8143h gp_vga_write register (r/w) default value = xxxxxxxxh note that the register at gx_base+82140h is located in the area designated for the graphics pipeline but is used for vga emulation purposes. refer to table 5-39 on page 161 for this register?s bit formats. gx_base+8144h-8147h gp_vga_read register (r/w) default value = 00000000h note that the register at gx_base+8144h is located in the area designated for the graphics pipeline but is used for vga emulati on pur- poses. refer to table 5-39 on page 161 for this register?s bit formats. table 5-24. graphics pipeline configuration registers (continued) bit name description
amd geode? gx1 processor data book 131 graphics pipeline revision 4.1 gx_base+8200h-8203h gp_raster_mode register (r/w) default value = 00000000h 31:13 rsvd reserved: set to 0. 12 tb transparent blt: when set, this bit enables transparent blt. the source color data will be compared to a color key and if it matches, that pixel will not be drawn. the color key value is stored in the blt buffer as des- tination data. the raster operation must be set to c6h, and the pattern registers must be all f?s for this mode to work properly. 11 st source transparency: enables transparency for monochrome sour ce data. those pixels corresponding to clear bits in the source data are not drawn. 10 pt pattern transparency: enables transparency for monochrome patte rn data. those pixels corresponding to clear bits in the pattern data are not drawn. 9:8 pm pattern mode: specifies the format of the pattern data. 00 = indicates a solid pattern. the pattern data is always sourced from the gp_pat_color_0 register. 01 = indicates a monochrome pattern. the pattern data is sourced from the gp_pat_color_0 and gp_pat_color_1 registers. 10 = indicates a dither pattern. all f our pattern color registers are used. 11 = indicates a color pattern. the pattern data is sourced directly from t he pattern data registers. 7:0 rop raster operation: specifies the raster operation for pattern, source, and destination data. note: writing to this register launches a raster operation. gx_base+8204h-8207h gp_vector_mode register (r/w) default value = 00000000h 31:4 rsvd reserved: set to 0. 3 dest read destination data: indicates that frame-buffer destination data is required. 2dmin minor direction: indicates a positive minor axis step. 1dmaj major direction: indicates a positive major axis step. 0ymaj major direction: indicates a y major vector. gx_base+8208h-820bh gp_blt_mode register (r/w) default value = 00000000h 31:9 rsvd reserved: set to 0. 8y reverse y direction: indicates a negative increment for the y positi on. this bit is used to control the direc- tion of screen to screen blts to prev ent data corruption in overlapping windows. 7:6 sm source mode: specifies the format of the source data. 00 = source is a color bitmap. 01 = source is a monochrome bitm ap (use source color expansion). 10 = unused. 11 = source is a text glyph (use source color expansi on). this differs from a m onochrome bitmap in that the x position is adjusted by the width of t he blt and the y position remains the same. 5 rsvd reserved: set to 0. 4:2 rd destination data: specifies the destination data location. 000 = no destination data is required. the destination data into the raster operation unit is all ones. 010 = read destination data from blt buffer 0. 011 = read destination data from blt buffer 1. 100 = read destination data from the frame buffer (store temporarily in blt buffer 0). 101 = read destination data from the frame buffer (store temporarily in blt buffer 1). 1:0 rs source data: specifies the source data location. 00 = no source data is required. the source dat a into the raster operation unit is all ones. 01 = read source data from the frame buffer (temporarily stored in blt buffer 0). 10 = read source data from blt buffer 0. 11 = read source data from blt buffer 1. note: writing to this register launches a blt operation. table 5-24. graphics pipeline configuration registers (continued) bit name description
132 amd geode? gx1 processor data book graphics pipeline revision 4.1 gx_base+820ch-820fh gp_blt_status register (r/w) default value = 00000000h 31:11 rsvd reserved: set to 0. 10:9 w screen width: selects a frame-buffer width. this register must be programmed correctly in order for com- pression to work. 00 = 1024 bytes 01 = 2048 bytes 10 = 4096 bytes 11 = 4096 bytes 8m 16-bpp mode: selects a pixel data format of 16-bpp (default is 8-bpp). 7:3 rsvd reserved: set to 0. 2 bp (ro) blt pending (read only): indicates that a blt operation is pending in the master registers. the ?blt pending? bit must be clear before loading an y of the graphics pipeline registers. loading registers when this bit is set high will destr oy the values for the pending blt. 1 pb (ro) pipeline busy (read only): indicates that the graphics pipeline is processing data. the ?pipeline busy? bit differs from the ?blt busy? bit in that the former only indicates that the graphics pipe- line is processing data. the ?blt busy? bit also indicates that the memory controller has not yet processed all of the requests for the current operation. the ?pipeline busy? bit must be clear before loading a bl t buffer if the previous blt operation used the same blt buffer. 0 bb (ro) blt busy (read only): indicates that a blt / vector operation is in progress. the ?blt busy? bit must be clear before accessing the frame buffer directly. gx_base+8210h-8213h gp_vga_base (r/w) default value = xxxxxxxxh note that the registers at gx_base+8210h are located in the area designated for the graphics pipeline but are used for vga emul ation purposes. refer to table 5-39 on page 161 for this register?s bit formats. gx_base+8214h-8217h gp_vga_latch register (r/w) default value = xxxxxxxxh note that the registers at gx_base+8214h are located in the area designated for the graphics pipeline but are used for vga emul ation purposes. refer to table 5-39 on page 161 for this register?s bit formats. table 5-24. graphics pipeline configuration registers (continued) bit name description
amd geode? gx1 processor data book 133 display controller revision 4.1 5.5 display controller the gx1 processor incorporates a display controller that retrieves display data from the memory controller and for- mats it for output on a variety of display devices. the gx1 processor connects directly to the graphics of the amd geode? cs5530a companion device. the display control- ler includes a display fifo, compression/decompression (codec) hardware, hardware cursor, a 256-entry-by-18-bit palette ram (plus three extension colors), display timing generator, dither and frame-rate-modulation circuitry for tft panels, and versatile output formatting logic. a dia- gram of the display controller subsystem is shown in figure 5-14. 5.5.1 display fifo the display controller contains a large (64x64 bit) fifo for queuing up display data from the memory controller as required for output to the scr een. the memory controller must arbitrate between display controller requests and other requests for memory access from the microprocessor core, l1 cache controller, and the graphics pipeline. display data is required in real time, making it the highest priority in the system. with out efficient memory manage- ment, system performance would suffer dramatically due to the constant display-refresh re quests from the display con- troller. the large size of the display fifo is desirable so that the fifo may primarily be loaded during times when there is no other request pending to the dram controller which allows the memory controller to stay in page mode for a longer period of time when servicing the display fifo. when a priority request from the cache or graphics pipeline occurs, if the display fifo has enough data queued up, the dram controller can immediately service the request with- out concern that the display fi fo will underflow. if the dis- play fifo is below a programmable threshold, a high- priority request will be sent to the dram controller, which will take precedence over any other requests that are pend- ing. the display fifo is 64 bits wide to accommodate high- speed burst read operations from the dram controller at maximum memory bandwidth. in addition to the normal pixel data stream, the displa y fifo also queues up cursor patterns. figure 5-14. display controller block diagram memory data compressed codec cursor palette extensions palette dither output video graphics control registers timing memory memory address output control pseudo/true color mux 32 64 line buffer (64x32 bit) display fifo (64x64 bit) latch 8 2 32 ram (264x18 9 16 18 and frm format 8 18 18 addr. logic generator and control logic address generator 20 9 bit)
134 amd geode? gx1 processor data book display controller revision 4.1 5.5.2 compression technology to reduce the system memory contention caused by the display refresh, the display controller contains compression and decompression logic for compressing the frame buffer image in real time as it is sent to the display. it combines this compressed display buffer into the extra off-screen memory within the graphics memory aperture. coherency of the compressed display buffer is maintained by use of dirty and valid bits for each line. the dirty and valid ram is contained on-chip for maximum efficiency. whenever a line has been validly compressed, it will be retrieved from the compressed display buffer for all future accesses until the line becomes dirty again. dirty lines will be retrieved from the normal uncompressed frame buffer. the compression logic has the ability to insert a program- mable number of ?static? frames, during which time dirty bits are ignored and the valid bits are read to determine whether a line should be retrieved from the frame buffer or compressed display buffer. the less frequently the dirty bits are sampled, the more frequently lines will be retrieved from the compressed display buffer. this allows a program- mable screen image update rate (as opposed to refresh rate). generally, an update rate of 30 frames per second is adequate for displaying most types of data, including real- time video. if a flat panel display is used that has a slow response time, such as 100 ms, the image need not be updated faster than ten frames per second, since the panel could not display changes beyond that rate. the compression algorithm used in the gx1 processor commonly achieves compression ratios between 10:1 and 20:1, depending on the nature of the display data. this high level of compression provides higher system perfor- mance by reducing typical latency for normal system mem- ory access, higher graphics performance by increasing available drawing bandwidth to the dram array, and much lower power consumption by significantly reducing the number of off-chip dram accesses required for refreshing the display. these advantages become even more pro- nounced as display resolution, color depth, and refresh rate are increased and as the size of the installed dram increases. as uncompressed lines are fed to the display, they will be compressed and stored in an on-chip compressed line buffer (64x32 bits). lines will not be written back to the compressed display buffer in the dram unless a valid compression has resulted, so there is no penalty for patho- logical frame buffer images where the compression algo- rithm breaks down. 5.5.3 hardware cursor the display controller contains hardware cursor logic to allow overlay of the cursor image onto the pixel data stream. overhead for updating this image on the screen is kept to a minimum by requiring that only the x and y posi- tion be changed. this elimi nates ?submarining? effects commonly associated with software cursors. the cursor, 32x32 pixels with 2-bpp, is loaded into off-screen memory within the graphics memory aperture. the dc_cur_st_offset programs the cursor start (see table 5-30 on page 146). the 2-bit code selects color 0, color 1, transparent, or background-color inversion for each pixel in the cursor. the two cursor colors will be stored as extensions to the normal 256-entry palette at locations 100h and 101h. the 2-bit cursor codes are as follows: and xor displayed 00cursor color 0 01cursor color 1 1 0 transparent ? background pixel 1 1 inverted ? bit-wise inversion of back- ground pixel the cursor overlay patterns are loaded to independent memory locations, usually mapped above the frame buffer and compressed display buffer (off-screen). the cursor buffer must start on a dword boundary. it is linearly mapped, and is always 256 bytes in size. if there is enough room (256 bytes) after the compression-buffer line but before the next frame-buffer line starts, the cursor pattern may be loaded into this area to make efficient use of the graphics memory. each pattern is a 32x32-pixel array of 2-bit codes. the codes are a combination of and mask and xor mask for a particular pixel. each line of an overlay pattern is stored as two dwords, with each dword containing the and masks for 16 pixels in the upper word and the xor masks for 16 pixels in the lower word. dwords are arranged with the leftmost pixel block being least significant and the right- most pixel block being most significant. pixels within words are arranged with the leftmost pixels being most significant and the rightmost pixels being least significant. multiple cursor patterns may be loaded into the off-screen memory. an application may simply change the cursor start offset to select a new cursor pattern. the new cursor pattern will become effective at the st art of the next frame scan. 5.5.4 display timing generator the display controller features a fully programmable timing generator for generating all timing control signals for the display. the timing control signals include horizontal and vertical sync and blank signals in addition to timing for active and overscan regions of the display. the timing gen- erator is similar in function to the crtc of the original vga, although programming is more straightforward. program- ming of the timing registers is supported by amd via a bios int10 call during a mode set. when programming the timing registers directly, extreme care should be taken to ensure that all timing is compatible with the display device. the timing generator supports overscan to maintain full backward compatibility with the vga standard. this feature is supported primarily for crt display devices since flat panel displays have fixed resolutions and do not provide for overscan. when a display mode is selected having a lower resolution than the panel resolution, the gx1 processor supports a mechanism to center the display by stretching
amd geode? gx1 processor data book 135 display controller revision 4.1 the border to fill the remain der of the screen. the border color is at palette extension 104h. 5.5.5 dither and frame rate modulation the display controller supports 2x2 dither and two-level frame rate modulation (frm) to increase the apparent number of colors displayed on 9-bit or 12-bit tft panels. dither and frm are individually programmable. with dith- ering and frm enabled, 185,193 colors are possible on a 9-bit tft panel, and 226,981 colors are possible on a 12- bit tft panel. 5.5.6 display modes the gx1 processor?s display controller is programmable and supports resolutions up to 1280x1024 at 16 bits per pixel. this means the gx1 processor supports the stan- dard display resolutions of 640x480, 800x600, 1024x768, and 1280x1024 at both 8 and 16 bits per pixel. two 16-bit display formats are supported: rgb 5-6-5 and rgb 5-5-5. table 5-26 on page 136 lists how the rgb data is mapped onto the pixel data bus for the crt and various tft inter- faces. all crt modes can have vesa-compatible timing. table 5-25 lists some of the supported tft panel display modes and table 5-27 lists some of the supported crt display modes. table 5-25. tft panel display modes 1 resolution simultaneous colors refresh rate (hz) dclk 2 rate (mhz) pclk 3 rate (mhz) panel type maximum displayed colors 4 640x480 5 8-bpp 256 colors out of a palette of 256 60 50.35 25.175 9-bit 57 3 = 185,193 12-bit 61 3 = 226,981 18-bit 4 3 = 262,144 16-bpp 64 kb colors 5-6-5 60 50.35 25.175 9-bit 29x57x29 = 47,937 12-bit 31x61x31 = 58,621 18-bit 32x64x32 = 65,535 800x600 5 8-bpp 256 colors out of a palette of 256 60 80.0 40.0 9-bit 57 3 = 185,193 12-bit 61 3 = 226,981 18-bit 64 3 = 262,144 16-bpp 64 kb colors 5-6-5 60 80.0 40.0 9-bit 29x57x29 = 47,937 12-bit 31x61x31 = 58,621 18-bit 32x64x32 = 65,535 1024x768 8-bpp 256 colors out of a palette of 256 60 65 65.0 9-bit/18-i/f 57 3 = 185,193 16-bpp 64 kb colors 5-6-5 60 65 65.0 9-bit/18-i/f 29x57x29 = 47,937 1. this list is not meant to be an complete li st of all the possible supported tft display modes. 2. dclk is the input clock from the am d geode? cs5530a companion device. in some cases, dclk is doubled to keep the cs5530a?s pll in a desired operational range. 3. pclk is the graphics output clock to the geode cs5530a companion device. 4. 9-bit and 12-bit panels use frm and dither to increase displayed colors. (see section 5.5.5 "dither and frame rate modulation" on page 135.) 5. all 640x480 and 800x600 modes can be run in simultaneous display with crt.
136 amd geode? gx1 processor data book display controller revision 4.1 table 5-26. crt and tft panel data bus formats panel data bus bit crt & 18-bit tft 12-bit tft 9-bit tft 640x480 1024x768 17 r5 r5 r5 r5 even 16 r4 r4 r4 r4 15 r3 r3 r3 r3 14 r2 r2 r5 odd 13 r1 r4 12 r0 r3 11 g5 g5 g5 g5 even 10 g4 g4 g4 g4 9g3g3 g3g3 8g2g2 g5 odd 7g1 g4 6g0 g3 5b5b5 b5b5even 4b4b4 b4b4 3b3b3 b3b3 2b2b2 b5 odd 1b1 b4 0b0 b3 table 5-27. crt display modes 1 resolution simultaneous colors refresh rate (hz) dclk 2 rate (mhz) pclk 3 rate (mhz) 640x480 8-bpp 256 colors out of a palette of 256 60 50.35 25.175 72 63.0 31.5 75 63.0 31.5 85 72.0 36.0 16-bpp 64 kb colors rgb 5-6-5 60 50.35 25.175 72 63.0 31.5 75 63.0 31.5 85 72.0 36.0
amd geode? gx1 processor data book 137 display controller revision 4.1 800x600 8-bpp 256 colors out of a palette of 256 60 80.0 40.0 72 100.0 50.0 75 99.0 49.5 85 112.5 56.25 16-bpp 64 kb colors rgb 5-6-5 60 80.0 40.0 72 100.0 50.0 75 99 49.9 85 112.5 56.25 1024x768 8-bpp 256 colors out of a palette of 256 60 65.0 65.0 70 75.0 75.0 75 78.5 78.5 85 94.5 94.5 16-bpp 64 kb colors rgb 5-6-5 60 65.0 65.0 70 75.0 75.0 75 78.5 78.5 85 94.5 94.5 1280x1024 8-bpp 256 colors out of a palette of 256 60 108.0 108.0 75 135.0 135 85 157 157 16 bpp 64 kb colors rgb 5-6-5 60 108 108 75 135 135 85 157 157 1. this list is not meant to be an complete li st of all the possible supported crt display modes. 2. dclk is the input clock from the am d geode? cs5530a companion device. in some cases, dclk is doubled to keep the cs5530a?s pll in a desired operational range. 3. pclk is the graphics output clock to the geode cs5530a companion device. table 5-27. crt display modes 1 resolution simultaneous colors refresh rate (hz) dclk 2 rate (mhz) pclk 3 rate (mhz)
138 amd geode? gx1 processor data book display controller revision 4.1 5.5.7 graphics memory map the gx1 processor supports a maximum of 4 mb of graph- ics memory and will map it to an address space (see fig- ure 5-2 on page 99) higher than the maximum amount of installed ram. the graphics memory aperture physically resides at the top of the installed system ram. the start address and size of the graphics memory aperture are pro- grammable on 512 kb boundaries. typically, the system bios sets the size and start address of the graphics mem- ory aperture during the boot process based on the amount of installed ram, user defined cmos settings, hard coded, etc. the graphics pipeline and display controller address the graphics memory with a 20-bit offset (address bits [21:2]) and four byte enables into the graphics memory aperture. the graphics memory stores several buffers that are used to generate the display: the frame buffer, com- pressed display buffer, vga memory, and cursor pat- tern(s). any remaining off-screen memory within the graphics aperture may be used by the display driver as desired or not at all. 5.5.7.1 dc memory organization registers the display controller contains a number of registers that allow full programmability of the graphics memory organi- zation. this includes starting offsets for each of the buffer regions described above, line delta parameters for the frame buffer and compression buffer, as well as com- pressed line-buffer size information. the starting offsets for the various buffers are programmable for a high degree of flexibility in memory organization. 5.5.7.2 frame buffer an d compression buffer organization the gx1 processor supports primary display modes 640x480, 800x600, 1024x768, and 1280x1024 at both 8- bpp and 16-bpp. pixels are packed into dwords as shown in figure 5-15. in order to simplify address calculations by the rendering hardware, the frame buffer is organized in an xy fashion where the offset is simply a concatenation of the x and y pixel addresses. all 8-bpp display modes with the excep- tion of the 1280x1024 resolution use a 1024-byte line delta between the starting offsets of adjacent lines. all 16-bpp display modes except 1280x1024 use a 2048-byte line delta between the starting offsets of adjacent lines. 1280x1024x16 uses a 4096-byte line offset. if there is room, the space between the end of a line and the start of the next line will be filled with the compressed display data for that line, thus allowing efficient memory utilization. for 1024x768 display modes, the frame-buffer line size is the same as the line delta, so no room is left for the com- pressed display data between lines. in this case, the com- pressed display buffer begins at the end of the frame buffer region and is linearly mapped. 5.5.7.3 vga display support the graphics pipeline contains full hardware support for the vga front end. the vga data is stored in a 256 kb buffer located in graphics memory. the main task for virtual vga (see section 5.6 "virtual vga subsystem" on page 155) is converting the data in the vga buffer to an 8-bpp frame buffer that can be displayed by the display controller. for some modes, the displa y controller can display the vga data directly and the data conversion is not neces- sary. this includes standard vga mode 13h and the varia- tions of that mode used in several games; the display controller can also directly display vga planar graphics modes d, e, f, 10, 11, and 12. likewise, the hardware can directly display all of th e higher-resolution vesa modes. since the frame buffer data is written directly to memory instead of travelling across an external bus, the gx1 pro- cessor often outperforms vga cards for these modes. the display controller, however, does not directly support text modes. softvga must convert the characters and attributes in the vga buffer to an 8-bpp frame buffer image the hardware uses for display refresh. figure 5-15. pixel arrangement within a dword dword bit position 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 address 3h 2h 1h 0h pixel org - 8-bpp (3,0) (2,0) (1,0) (0,0) pixel org - 16-bpp (1,0) (0,0) (1023,0) (1023, 1023) (0, 0) (0, 1023) dword 0 (2047,0) (0, 0) (0, 1023) 8-bpp up to 1024x768 16-bpp up to 1024x768 8-bpp up to 1280x1024 (2047, 1023) dword 0 dword 1 ... ... dword 1 (4096,0) (0, 0) (0, 1023) 16-bpp up to 1280x1024 (4096, 1023) dword 0 dword 1 ...
amd geode? gx1 processor data book 139 display controller revision 4.1 5.5.8 display controller registers the display controller maps 100h memory locations start- ing at gx_base+8300h for the display controller registers. however, only 116 bytes are defined and some of these registers will alias across the 100h space. refer to section 5.1.2 "control registers" on page 100 for instructions on accessing these registers. the display controller registers are divid ed into six catego- ries:  configuration and status registers  memory organization registers  timing registers  cursor and line compare registers  color registers  palette and ram diagnostic registers table 5-28 summarizes these registers and locations, and the following subsections give detailed register/bit formats. table 5-28. display controller register summary gx_base+ memory offset type name/function default value configuration and status registers 8300h-8303h r/w dc_unlock display controller unlock: this register is provided to lock the most crit- ical memory-mapped display controller registers to prevent unwanted modification (write operations). read operations are always allowed. 00000000h 8304h-8307h r/w dc_general_cfg display controller general configuration: general control bits for the display controller. 00000000h 8308h-830bh r/w dc_timing_cfg display controller timing configuration: status and control bits for vari- ous display timing functions. xx000000h 830ch-830fh r/w dc_output_cfg display controller output configuration: status a nd control bits for pixel output formatting functions. xx000000h memory organization registers 8310h-8313h r/w dc_fb_st_offset display controller frame buffer start address: specifies offset at which the frame buffer starts. xxxxxxxxh 8314h-8317h r/w dc_cb_st_offset display controller compression buffer start address: specifies offset at which the compressed display buffer starts. xxxxxxxxh 8318h-831bh r/w dc_cur_st_offset display controller cursor buffer star t address: specifies offset at which the cursor memory buffer starts. xxxxxxxxh 831ch-831fh -- reserved 00000000h 8320h-8323h r/w dc_vid_st_offset display controller video start addre ss: specifies offs et at which the video buffer starts. xxxxxxxxh 8324h-8327h r/w dc_line_delta display controller line delta: stores line delta for the graphics display buffers. xxxxxxxxh
140 amd geode? gx1 processor data book display controller revision 4.1 8328h-832bh r/w dc_buf_size display controller buffer size: specifies the number of bytes to transfer for a line of frame buffer data and the size of the compressed line buffer. (the compressed line buffer will be invalidated if it exceeds the cb_line_size, bits [15:9].) xxxxxxxxh 832ch-832fh -- reserved 00000000h timing registers 8330h-8333h r/w dc_h_timing_1 display controller horizontal and total timing: horizontal active and total timing information. xxxxxxxxh 8334h-8337h r/w dc_h_timing_2 display controller crt horizontal blanking timing: crt horizontal blank timing information. xxxxxxxxh 8338h-833bh r/w dc_h_timing_3 display controller crt sync timing: crt horizontal sync timing infor- mation. note, however, that this register should also be programmed appropriately for flat panel only display since the horizontal sync transi- tion determines when to advance the vertical counter. xxxxxxxxh 833ch-833fh r/w dc_fp_h_timing display controller flat panel horizontal sync timing: horizontal sync timing information for an attached flat panel display. xxxxxxxxh 8340h-8343h r/w dc_v_timing_1 display controller vertical and total timing: vertical active and total timing information. the parameters pertain to both crt and flat panel display. xxxxxxxxh 8344h-8247h r/w dc_v_timing_2 display controller crt vertical blank timing: vertical blank timing information. xxxxxxxxh 8348h-834bh r/w dc_v_timing_3 display controller crt vertical sync timing: crt vertical sync timing information. xxxxxxxxh 834ch-834fh r/w dc_fp_v_timing display controller flat panel vertical sync timing: flat panel vertical sync timing information. xxxxxxxxh cursor and line compare registers 8350h-8353h r/w dc_cursor_x display controller cursor x position: x position information of the hard- ware cursor. xxxxxxxxh 8354h-8357h ro dc_v_line_cnt display controller vertical line count: this read only register provides the current scanline for the display. it is used by software to time update of the frame buffer to avoid tearing artifacts. xxxxxxxxh 8358h-835bh r/w dc_cursor_y display controller cursor y position: y position information of the hard- ware cursor. xxxxxxxxh table 5-28. display controller register summary (continued) gx_base+ memory offset type name/function default value
amd geode? gx1 processor data book 141 display controller revision 4.1 835ch-835fh r/w dc_ss_line_cmp display controller split-screen line compare: contains the line count at which the lower screen begins in a vga split-screen mode. xxxxxxxxh 8360h-836fh -- reserved xxxxxxxxh palette and ram diagnostic registers 8370h-8373h r/w dc_pal_address display controller palette address: th is register should be written with the address (index) location to be used for the next access to the dc_pal_data register. xxxxxxxxh 8374h-8377h r/w dc_pal_data display controller palette data: contains the data for a palette access cycle. xxxxxxxxh 8378h-837bh r/w dc_dfifo_diag display controller display fifo diagnostic: this register is provided to enable testability of the display fifo ram. xxxxxxxxh 837ch-837fh r/w dc_cfifo_diag display controller compression fifo diagnostic: this register is pro- vided to enable testability of the co mpressed line buffer (fifo) ram. xxxxxxxxh table 5-28. display controller register summary (continued) gx_base+ memory offset type name/function default value
142 amd geode? gx1 processor data book display controller revision 4.1 5.5.8.1 configura tion and status registers the configuration and status registers group consists of four 32-bit registers located at gx_base+8300h-830ch. these registers are described below and table 5-29 gives their bit formats. table 5-29. display controller configuration and status registers bit name description gx_base+8300h-8303h dc_unlock register (r/w) default value = 00000000h 31:16 rsvd reserved: set to 0. 15:0 unlock_ code unlock code: this register must be written with the value 4758h in order to write to the protected regis- ters. the following registers are protected by the lo cking mechanism. writing any other value enables the write lock function. dc_general_cfg dc_line_delta dc_v_timing_2 dc_timing_cfg dc_buf_size dc_v_timing_3 dc_output_cfg dc_h_timing_1 dc_fp_v_timing dc_fb_st_offset dc_h_timng_2 dc_cb_st_offset dc_h_timing_3 dc_cur_st_offset dc_fp_h_timing dc_vid_st_offset dc_v_timing_1 gx_base+8304h-8307h dc_general_cfg (r/w) (locked) default value = 00000000h 31 ddck reserved: set to 0. 30 dpck reserved: set to 0. 29 vrdy video ready protocol: 0 = low speed video port: 1 = high speed video port always program to 1. 28 vide video enable: motion video port: 0 = disable; 1 = enable. 27 sslc split-screen line compare: vga line compare function: 0 = disable; 1 = enable. when enabled, the internal line counter will be compared to the value programmed in the dc_ss _line_cmp register. if it matches, the frame buffer address will be reset to zero. this enables a split screen function. 26 ch4s chain 4 skip: allow display controller to read every 4th dw ord from the frame buffer for compatibility with the vga: 0 = disable; 1 = enable. 25 diag fifo diagnostic mode: this bit allows testability of the on-chip display fifo and compressed line buffer via the diagnostic access regist ers. a low-to-high transition will reset the display fifo?s r/w point- ers and the compressed line buffer?s read pointer. 0 = normal operation; 1 = enable. 24 ldbl line double: allow line doubling for emulated vga modes: 0 = disable; 1 = enable. if enabled, this will cause each odd line to be replicated from the previous line as the data is sent to the display. timing parameters should be programmed as if pixel doubling is not used, however, the frame buffer should be loaded with half the normal number of lines. 23:19 rsvd reserved: set to 0. 18 fdty frame dirty mode: allow entire frame to be flagged as dirty whenever a pixel write occurs to the frame buffer (this is provided for modes that use a linearl y mapped frame buffer for which the line delta is not equal to 1024 or 2048 bytes): 0 = disable; 1 = enable. when disabled, dirty bits are set accord ing to the y address of the pixel write. 17 rsvd reserved: set to 0. 16 cmpi compressor insert mode: insert one static frame between update frames: 0 = disable; 1 = enable. an update frame is a frame in which dirty lines are upd ated. conversely, a static frame is a frame in which dirty lines are not updated (the display image may not actually be static, because lines that are not com- pressed successfully must be retrieved from the uncompressed frame buffer). 15:12 dfifo hi-pri end lv l display fifo high priority end level: this field specifies the depth of the display fifo (in 64-bit entries x 4) at which a high-priority request previously issued to the memory controller will end. the value is dependent upon display mode. this register should alwa ys be non-zero and should be larger than the start level.
amd geode? gx1 processor data book 143 display controller revision 4.1 11:8 dfifo hi-pri start lvl display fifo high priority start level: this field specifies the depth of the display fifo (in 64-bit entries x 4) at which a high-priority request will be sent to the memory controller to fill up the fifo. the value is dependent upon display mode. this register should always be nonzero and should be less than the high-priority end level. 7:6 dclk_ div dclk divider: this 2-bit field specifies the cl ock divider for the input dclk pin. 00 = forced low 01 = dclk 2 10 = dclk 11 = dclk 5dece decompression enable: allow operation of internal decompression hardware: 0 = disable; 1 = enable. 4cmpe compression enable: allow operation of internal compress ion hardware: 0 = disable; 1 = enable 3 ppc pixel panning compatibility: this bit has the same function as that found in the vga. allow pixel alignment to change when crossing a split-scr een boundary - it will force the pixel alignment to be 16-byte aligned: 0 = disable; 1 = enable. if disabled, the previous alignment will be preserved when crossing a split-screen boundary. 2dvck divide video clock: selects frequency of vid_clk pin: 0 = vid_clk pin frequency is equal to one-half (?) the frequency of the core clock. 1 = vid_clk pin frequency is equal to one-fourth (?) the frequency of the core clock. bit 28 (vide) must be set to 1 for this bit to be valid. 1cure cursor enable: use internal hardware cursor: 0 = disable; 1 = enable. 0dfle display fifo load enable: allow the display fifo to be loaded from memory: 0 = disable; 1 = enable. if disabled, no write or read operations will occur to the display fifo. if enabled, a flat panel should be powered down prior to setting this bit low. similarly, if active, a crt should be blanked prior to setting this bit low. gx_base+8308h-830bh dc_timing_cfg register (r/w) (locked) default value = xxx00000h 31 vint (ro) vertical interrupt (read only): is a vertical interrupt pending? 0 = no; 1 = yes. this bit is provided to maintain backward compatibilit y with the vga. it corresponds to vga port 3c2h bit 7. 30 vna (ro) vertical not active (read only): is the active part of a vertical scan is in progress (i.e., retrace, blanking, or border)? 0 = yes; 1 = no. this bit is provided to maintain backward compatibi lity with the vga. it corresponds to vga port 3ba/3da bit 3. 29 dna (ro) display not active (read only): is the active part of a line is bei ng displayed (i.e., retrace, blanking, or border)? 0 = yes; 1 = no. this bit is provided to maintain backward compatibi lity with the vga. it corresponds to vga port 3ba/3da bit 0. 28 rsvd reserved: set to 0. 27 ddci (ro) ddc input (read only): this bit returns the value from the ddcin pin that should reflect the value from pin 12 of the vga connector. it is used to provide support for the vesa display data channel standard level ddc1. 26:20 rsvd reserved: set to 0. 19:17 rsvd reserved: set to 0. table 5-29. display controller configuration and status registers (continued) bit name description
144 amd geode? gx1 processor data book display controller revision 4.1 16 bkrt blink rate : 0 = cursor blinks on every 16 frames for a duration of 8 frames (approximately 4 times per second) and vga text characters will blink on every 32 frames fo r a duration of 16 frames (approximately 2 times per second). 1 = cursor blinks on every 32 frames for a duration of 16 frames (approximately 2 times per second) and vga text characters blink on every 64 frames for a duration of 32 frames (appr oximately 1 time per sec- ond). blinking is enabled by blnk bit 7. 15 pxdb pixel double: allow pixel doubling to stretch the displayed image in the horizontal dimension: 0 = disable; 1 = enable. if bit 15 is enabled, timing parameters should be prog rammed as if no pixel doubling is used, however, the frame buffer should be loaded with half the normal pixels per line. also, the fb_line_size parameter in dc_buf_size should be set for the number of bytes to be transferred for the line rather than the number displayed. 14 intl interlace scan: allow interlaced scan mode: 0 = disable (non-interlac ed scanning is supported.) 1 = enable (if a flat panel is attached, it should be powered down before setting this bit.) 13 plnr vga planar mode: this bit must be set high for all vga planar display modes. 12 fcen flat panel center: allows the border and active portions of a sc an line to be qualified as ?active? to a flat panel display via the enadisp signal. this allows the us e of a large border region for centering the flat panel display. 0 = disable; 1 = enable. when disabled, only the normal active portion of the scan line will be qualified as active. 11 fvsp flat panel vertical sync polarity: 0 = causes tft vertical sync signal to be norma lly low, generating a high pulse during sync interval. 1 = causes tft vertical sync signal to be norma lly high, generating a low pulse during sync interval. 10 fhsp flat panel horizontal sync polarity : 0 = causes tft horizontal sy nc signal to be normally low, generatin g a high pulse during sync interval. 1 = causes tft horizontal sync signal to be normally high, generat ing a low pulse during sync interval. 9cvsp crt vertical sync polarity : 0 = causes crt_vsync signal to be normally low, generating a high pulse during the retrace interval. 1 = cause crt_vsync signal to be normally high, gener ating a low pulse during the retrace interval. 8 chsp crt horizontal sync polarity : 0 = causes crt_hsync signal to be normally low, generating a high pulse during the retrace interval. 1 = causes crt_hsync signal to be normally high, generating a low pulse during the retrace interval. 7blnk blink enable: blink circuitry: 0 = disable; 1 = enable. if enabled, the hardware cursor will blink as well as any pixels. this is provided to maintain compatibility with vga text modes. the blink rate is determined by the bit 16 (bkrt). 6vien vertical interrupt enable: generate a vertical interrupt on the occu rrence of the next vertical sync pulse: 0 = disable, vertical interrupt is cleared; 1 = enable. this bit is provided to maintain backward compatibility with the vga. 5tgen timing generator enable: allow timing generator to generate the timing control signals for the display. 0 = disable, the timing registers may be reprogra mmed, and all circuitry operating on the dclk will be reset. 1 = enable, no write operations are pe rmitted to the timing registers. 4ddck ddc clock: this bit is used to provide the serial clock for reading the ddc data pin. this bit is multiplexed onto the crt_vsync pin, but in order for it to have an effect, the vsye bit[1] must be set low to disable the normal vertical sync. software should then pulse th is bit high and low to clock data into the gx1 pro- cessor. this feature is provided to allow support for the vesa display data channel standard level ddc1. table 5-29. display controller configuration and status registers (continued) bit name description
amd geode? gx1 processor data book 145 display controller revision 4.1 3blke blank enable: allow generation of the composite blank signal to the display device: 0 = disable; 1 = enable. when disabled, the ena_disp output will be a static low level. this allows vesa dpms compliance. 2hsye horizontal sync enable: allow generation of the horizontal sync signal to a crt display device: 0 = disable; 1 = enable. when disabled, the hsync output will be a static low level. this allows vesa dpms compliance. note that this bit only applies to the crt; the fl at panel hsync is controlled by the automatic power sequencing logic. 1 vsye vertical sync enable: allow generation of the vertical sync signal to a crt display device: 0 = disable; 1 = enable. when disabled, the vsync output will be a static low level. this allows vesa dpms compliance. note that this bit only applies to the crt; the flat panel vsync is controlled by the automatic power sequencing logic. 0ppe pixel port enable: on a low-to-high transition this bit will enable the pixel port outputs. on a high-to-low transition, this bi t will disable the pixel port outputs. gx_base+830ch-830fh dc_output_cfg register (r/w) (locked) default value = xxx00000h 31:16 rsvd reserved: set to 0. 15 diag compressed line buffer diagnostic mode: this bit allows testability of the compressed line buffer via the diagnostic access registers. a low-to-high transiti on resets the compressed line buffer write pointer. 0 = disable (normal operation); 1 = enable. 14 cfrw compressed line buffer read/write select: enables the read/write address to the compressed line buffer for use in diagnostic testing of the ram. 0 = write address enabled 1 = read address enabled 13 pdeh pixel data enable high : 0 = the pixel [17:9] data bus to be driven to a logic low level. 12 pdel panel data enable low : 0 = this bit will cause the pixel[8:0] data bus to be driven to a logic low level. 11:8 rsvd reserved: set to 0. 7:5 rsvd reserved: set to 0. 4:3 rsvd reserved: set to 0. 2pcke pclk enable : 0 = pclk is disabled and a low logic level is driven off-chip. 1 = enable pclk to be driven off-chip. 1 16fmt 16-bpp format: selects rgb display mode: 0 = rgb 5-6-5 mode 1 = rgb 5-5-5 display mode this bit is only significant if 8-bpp (output_config, bit 0) is low, indicating 16-bpp mode. 0 8-bpp 8-bpp / 16-bpp select: 0 = 16-bpp display mode is selected. 16fmt (output_co nfig, bit 1) will indicate the format of the 16- bit data.) 1 = 8-bpp display mode is selected. used in vga emulation. table 5-29. display controller configuration and status registers (continued) bit name description
146 amd geode? gx1 processor data book display controller revision 4.1 5.5.9 memory organization registers the gx1 processor utilizes a graphics memory aperture that is up to 4 mb in size. the base address of the graphics memory aperture is stored in the dram controller graph- ics base address register (see gbadd of mc_gbase_add register, tabl e 5-15 on page 116). the graphics memory is made up of the normal uncompressed frame buffer, compressed display buffer, and cursor buffer. each buffer begins at a programmable offset within the graphics memory aperture. the various memory buffers are arranged so as to effi- ciently pack the data within the graphics memory aperture. the arrangement is programmable to efficiently accommo- date different display modes. the cursor buffer is a linear block so addressing is straightforward. the frame buffer and compressed display buffer are arranged based upon scan lines. each scan line has a maximum number of valid or active dwords, and a delta, which when added to the previous line offset, points to the next line. in this way, the buffers may either be stored as linear blocks, or as logical blocks as desired. the memory organization registers group consists of six 32-bit registers located at gx_base+8310h-8328h. these registers are summarized in table 5-28 on page 139, and table 5-30 gives their bit formats. table 5-30. display controller memory organization registers bit name description gx_base+8310h-8313h dc_fb_st_offset register (r/w) (locked) default value = xxxxxxxxh 31:22 rsvd reserved: set to 0. 21:0 fb_start _offset frame buffer start offset: this value represents the byte offset from the graphics base address register (see gbadd of mc_gbase_add register in table 5-15 on page 116) of the starting location of the dis- played frame buffer. this value may be changed to ac hieve panning across a virtual desktop or to allow multiple buffering. when this register is programmed to a nonzero valu e, the compression logic should be disabled. the mem- ory address defined by bits [21:4] will take effect at the start of the next frame scan. the pixel offset defined by bits [3:0] will take effect immediately (in gener al, it should only change during vertical blanking). gx_base+8314h-8317h dc_cb_st_offset register (r/w) (locked) default value = xxxxxxxxh 31:22 rsvd reserved: set to 0. 21:0 cb_start _offset compressed display buffer start offset: this value represents the byte offset from the graphics base address register (see gbadd of mc_gbase_add register in table 5-15 on page 116) of the starting location of the compressed display buffer. bits [3:0] must be programmed to zero so that the start offset is aligned to a 16-byte boundary. this value should change only when a new display mode is set due to a change in size of the frame buffer. gx_base+8318h-831bh dc_cur_st_offset register (r/w) (locked) default value = xxxxxxxxh 31:22 rsvd reserved: set to 0. 21:0 cur_start _offset cursor start offset: this register contains the byte offset from the graphics base address register (see gbadd of mc_gbase_add register in table 5-15 on page 116) of the starting location of the cursor dis- play pattern. bits [1:0] should always be programmed to zero so that the start offset is dword aligned. the cursor data will be stored as a linear block of data. gx_base+831ch-831fh reserved default value = 00000000h gx_base+8320h-8323h dc_vid_st_offset register (r/w) (locked) default value = xxxxxxxxh 31:22 rsvd reserved: set to 0. 21:0 vid_start _offset video buffer start offset value: this register contains the byte o ffset from the graphics base address register (see gbadd of mc_gbase_a dd register in table 5-15 on page 116) of the starting location of the video buffer start. bits [3:0] must be programmed as ze ro so that the start offset is aligned to a 16 byte boundary. gx_base+8324h-8327h dc_line_delta register (r/w) (locked) default value = xxxxxxxxh 31:23 rsvd reserved: set to 0. 22:12 cb_line_ delta compressed display buffer line delta: this value represents number of dwords that, when added to the starting offset of the previous line, will point to the start of the next compressed line in memory. it is used to always maintain a pointer to the starting offset for the compressed display buffer line being loaded into the display fifo. 11 rsvd reserved: set to 0. 10:0 fb_line_ delta frame buffer line delta: this value represents number of dw ords that, when added to the starting off- set of the previous line, will point to the start of t he next frame buffer line in memory. it is used to always maintain a pointer to the starting offset for the frame buffer line being loaded into the display fifo.
amd geode? gx1 processor data book 147 display controller revision 4.1 gx_base+8328h-832bh dc_buf_size register (r/w) (locked) default value = xxxxxxxxh 31:30 rsvd reserved: set to 0. 29:16 vid_buf_ size video buffer size: these bits set the video buffer size, in 64 -byte segments. the maximum size is 1 mb. 15:9 cb_line_ size compressed display buffer line size: this value represents the numb er of dwords for a valid com- pressed line plus 1. it is used to detect an overflow of the compressed data fifo. it should never be larger than 41h since the maximum size of the compressed data fifo is 64 dwords. 8:0 fb_line_ size frame buffer line size: this value specifies the number of qword (8-byte segments) to transfer for each display line from the frame buffer. if panning is enabled, this value can generally be prog rammed to the displayed number of qword + 2 so that enough data is transferred to handle any possible a lignment. extra pixel data in the fifo at the end of a line will automatic ally be discarded. gx_base+832ch-832fh reserved default value = 00000000h table 5-30. display controller memory organization registers (continued) bit name description
148 amd geode? gx1 processor data book display controller revision 4.1 5.5.10 timing registers the display controller?s timing registers control the gener- ation of sync, blanking, and active display regions. they provide complete flexibility in interfacing to both crt and flat panel displays. these registers will generally be pro- grammed by the bios from an int10h call or by the extended mode driver from a display timing file. note that the horizontal timing parameters are specified in character clocks, which actually means pixels divided by 8, since all characters are bit mapped. for interlaced display the verti- cal counter will be incremented twice during each display line, so vertical timing parameters should be programmed with reference to the total frame rather than a single field. the timing registers group consis ts of six 32-bit registers located at gx_base+8330h-834ch. these registers are summarized in table 5-28 on page 139, and table 5-31 gives their bit formats. table 5-31. display controller timing registers bit name description gx_base+8330h-8333h dc_h_timing_1 register (r/w) (locked) default value = xxxxxxxxh 31:27 rsvd reserved: set to 0. 26:19 h_total horizontal total: the total number of character clocks for a giv en scan line minus 1. note that the value is necessarily greater than the h_active field because it includes border pixels and blanked pixels. for flat panels, this value will never change. the field [26:16] may be programmed with the pixel count minus 1, although bits [18:16] are ignored. the horizontal total is programmable on 8-pixel boundaries only. 18:16 igrd ignored 15:11 rsvd reserved: set to 0. 10:3 h_active horizontal active: the total number of character clocks for the displayed portion of a scan line minus 1. the field [10:0] may be programmed with the pixel c ount minus 1, although bits [2:0] are ignored. the active count is programmable on 8-pixel boundaries only. no te that for flat panels, if this value is less than the panel active horizontal resolution (h_panel), the parameters h_blank_start, h_blank_end, h_sync_start, and h_sync_end should be reduced by the value of h_adjust (or the value of h_panel ? h_active / 2) to achieve horizontal centering. 2:0 igrd ignored note: for simultaneous crt and flat panel display the h_active and h_total parameters pertain to both. gx_base+8334h-8337h dc_h_timing_2 register (r/w) (locked) default value = xxxxxxxxh 31:27 rsvd reserved: set to 0. 26:19 h_blk_end horizontal blank end: the character clock count at which the hor izontal blanking signal becomes inactive minus 1. the field [26:16] may be programmed with the pixel count minus 1, although bits [18:16] are ignored. the blank end position is programmable on 8-pixel boundaries only. 18:16 igrd ignored 15:11 rsvd reserved: set to 0. 10:3 h_blk_star t horizontal blank start: the character clock count at which the horizontal blanking signal becomes active minus 1. the field [10:0] may be programmed with the pixel count minus 1, although bits [2:0] are ignored. the blank start position is programmable on 8-pixel boundaries only. 2:0 igrd ignored note: a minimum of four character clocks are required for the horizontal blanking portion of a line in order for the timing generator to function correctly. gx_base+8338h-833bh dc_h_timing_3 register (r/w) (locked) default value = xxxxxxxxh 31:27 rsvd reserved: set to 0. 26:19 h_sync _end horizontal sync end: the character clock count at which t he crt horizontal sync signal becomes inac- tive minus 1. the field [26:16] may be programmed wi th the pixel count minus 1, although bits [18:16] are ignored. the sync end position is prog rammable on 8-pixel boundaries only. 18:16 igrd ignored 15:11 rsvd reserved: set to 0. 10:3 h_sync _start horizontal sync start: the character clock count at which the crt horizontal sync signal becomes active minus 1. the field [10:0] may be programmed with the pixel count minus 1, although bits [2:0] are ignored. the sync start position is programmable on 8-pixel boundaries only. 2:0 igrd ignored note: this register should also be programmed appropriately for fl at panel only display since the horizontal sync transition deter- mines when to advance the vertical counter.
amd geode? gx1 processor data book 149 display controller revision 4.1 gx_base+833ch-833fh c_fp_h_timing register (r/w) (locked) default value = xxxxxxxxh 31:27 rsvd reserved: set to 0. 26:16 fp_h_sync _end flat panel horizontal sync end: the pixel count at which the flat panel horizontal sync signal becomes inactive minus 1. 15:11 rsvd reserved: set to 0. 10:0 fp_h_sync _start flat panel horizontal sync start: the pixel count at which the flat panel horizontal sync signal becomes active minus 1. note: these values are specified in pi xels rather than character clocks to allow pr ecise control over sync position. for flat panels which combine two pixels per panel clock, these values should be odd numbers (even pixel boundary) to guarantee that the sync signal will meet proper setup and hold times. gx_base+8340h-8343h dc_v_timing_1 register (r/w) (locked) default value = xxxxxxxxh 31:27 rsvd reserved: set to 0. 26:16 v_total vertical total: the total number of lines for a given frame scan minus 1. the value is necessarily greater than the v_active field because it includes border line s and blanked lines. if the display is interlaced, the total number of lines must be odd, so this value should be an even number. 15:11 rsvd reserved: set to 0. 10:0 v_active vertical active: the total number of lines for the displayed portion of a frame scan minus 1. for flat panels, if this value is less than the p anel active vertical resolution (v_p anel), the parameters v_blank_start, v_blank_end, v_sync_start, and v_sync_end should be reduced by the following value (v_adjust) to achieve vert ical centering: v_adjust = (v_panel ? v_active) / 2. if the display is interlaced, the number of active li nes should be even, so this value should be an odd num- ber. note: these values are specified in lines. gx_base+8344h-8347h dc_v_timing_2 register (r/w) (locked) default value = xxxxxxxxh 31:27 rsvd reserved: set to 0. 26:16 v_blank _end vertical blank end: the line at which the vertical blanking sig nal becomes inactive minus 1. if the display is interlaced, no border is supported, so th is value should be identical to v_total. 15:11 rsvd reserved: set to 0. 10:0 v_blank_ start vertical blank start: the line at which the vertical blanking signal becomes active minus 1. if the display is interlaced, this value should be programmed to v_active plus 1. note: these values are specified in lines. for interlaced display, no border is supported, so blank timing is implied by the total/ac tive timing. gx_base+8348h-834bh dc_v_timing_3 register (r/w) (locked) default value = xxxxxxxxh 31:27 rsvd reserved: set to 0. 26:16 v_sync_ end vertical sync end: the line at which the crt vertical sync signal becomes inactive minus 1. 15:11 rsvd reserved: set to 0. 10:0 v_sync_ start vertical sync start: the line at which the crt vertical sync si gnal becomes active minus 1. for interlaced display, note that the vertical counter is increm ented twice during each line and since there are an odd number of lines, the vertical sync pulse will trigge r in the middle of a line for one field and at the end of a line for the subsequent field. note: these values are specified in lines. gx_base+834ch-834fh dc_fp_v_timing register (r/w) (locked) default value = xxxxxxxxh 31:27 rsvd reserved: set to 0. 26:16 fp_v_sync _end flat panel vertical sync end: the line at which the flat panel vertic al sync signal becomes inactive minus 2. note that the internal flat panel vertical sync is latched by the flat panel horizontal sync prior to being out- put to the panel. 15:11 rsvd reserved: set to 0. 10:0 fp_vsync _start flat panel vertical sync start: the line at which the internal flat pane l vertical sync signal becomes active minus 2. note that the internal flat panel vertical sync is latched by the flat panel horizontal sync prior to being output to the panel. note: these values are specified in lines. table 5-31. display controller timing registers (continued) bit name description
150 amd geode? gx1 processor data book display controller revision 4.1 5.5.11 cursor and line compare registers the cursor position registers contain pixel coordinate infor- mation for the cursor. these values are not latched by the timing generator until the start of the frame to avoid tearing artifacts when moving the cursor. the cursor position group cons ists of two 32-bit registers located at gx_base+8350h and gx_base+8358h. these registers are summarized in table 5-28 on page 139, and table 5-32 gives their bit formats. table 5-32. display controller cursor and line compare registers bit name description gx_base+8350h-8353h dc_cursor_x register (r/w) default value = xxxxxxxxh 31:16 rsvd reserved: set to 0. 15:11 x_offset x offset: the x pixel offset within the 32x32 cursor pattern at which the displayed portion of the cursor is to begin. normally, this value is set to zero to display the entire cursor pattern, but for cursors for which the ?hot spot? is not at the left edge of the pattern, it may be necessary to display the rightmost pixels of the cur- sor only as the cursor moves close to the left edge of the display. 10:0 cursor_x cursor x: the x coordinate of the pixel at which the upper le ft corner of the cursor is to be displayed. this value is referenced to the screen origin (0,0) which is the pixel in the upper left corner of the screen. gx_base+8354h-8357h dc_v_line_cnt register (ro) default value = xxxxxxxxh 31:11 rsvd reserved (read only) 10:0 v_line _cnt (ro) vertical line count (read only): this value is the current scanline of the display. note: the value in this register is driven directly off of the dclk , and is not synchronized with t he cpu clock. software should read this register twice and compare the two results to ensure that the value is not in transition. gx_base+8358h-835bh dc_cursor_y register (r/w) default value = xxxxxxxxh 31:16 rsvd reserved: set to 0. 15:11 y_offset y offset: the y line offset within the 32x32 cursor pattern at which the displayed portion of the cursor is to begin. normally, this value is set to zero to display the entire cursor pattern, but for cursors for which the ?hot spot? is not at the top edge of the pattern, it may be necessary to display the bottommost lines of the cursor only as the cursor moves close to the top edge of the display. if this value is nonzero, the cur_start_offset must be set to point to the first cursor line to be displayed. 10 rsvd reserved: set to 0. 9:0 cursor_y cursor y: the y coordinate of the line at which the upper left corner of the cursor is to be displayed. this value is referenced to the screen origin (0,0) which is the pixel in the upper left corner of the screen. this field is alternately used as the line-compare value for a newly-programmed frame buffer start offset. this is necessary for vga programs that change the star t offset in the middle of a frame. in order to use this function, the hardware cu rsor function should be disabled. gx_base+835ch-835fh dc_ss_line_cmp register (r/w) default value = xxxxxxxxh 31:11 rsvd reserved: set to 0. 10:0 ss_line _cmp split-screen line compare: this is the line count at which the lower screen begins in a vga split-screen mode. note: when the internal line counter hits this value, the frame buff er address is reset to 0. this function is enabled with the sslc bit in the dc_general_cfg regist er (see table 5-29 on page 142).
amd geode? gx1 processor data book 151 display controller revision 4.1 5.5.12 palette access registers these registers are used for accessing the internal palette ram and extensions. in addition to the standard 256 entries for 8-bpp color translation, the gx1 processor pal- ette has extensions for cursor colors and overscan (border) color. the palette access register group consists of two 32-bit registers located at gx_base+8370h and gx_base+8374h. these registers are summarized in table 5-28 on page 139, and table 5-33 gives their bit for- mats. table 5-33. display controller palette bit name description gx_base+8370h-8373h dc_pal_address register (r/w) default value = xxxxxxxxh 31:9 rsvd reserved: set to 0. 8:0 palette _addr palette address: the address to be used for the next access to the dc_pal_data register. each access to the data register autom atically increments the palette address register. if non-sequential access is made to the palette, the address register must be loaded between each non-sequential data block. the address ranges are as follows. address color 0h - ffh standard palette colors 100h cursor color 0 101h cursor color 1 102h reserved 103h reserved 104h overscan (color border) 105h - 1ffh not valid gx_base+8374h-8377h dc_pal_data register (r/w) default value = xxxxxxxxh 31:18 rsvd reserved: set to 0. 17:0 palette _data palette data: the read or write data for a palette access. 1 1. when a read or write to the palette ram occurs, the previous output value is held for one additi onal dclk period. this effect should go unnoticed and provides for sparkle-free upda te. prior to a read or write to this register, the dc_pal_address register shoul d be loaded with the appropriate address. the address automatically in crements after each access to this register, so for sequential access, the address register need only be loaded once
152 amd geode? gx1 processor data book display controller revision 4.1 5.5.13 fifo diagnostic registers the fifo diagnostic register group consists of two 32-bit registers located at gx_base+8378h and gx_base+837ch. these registers are summarized in table 5-28 on page 139, and table 5-34 gives their bit for- mats. table 5-34. fifo diagnostic registers bit name description gx_base+8378h-837bh dc_dfifo_diag register (r/w) default value = xxxxxxxxh 31:0 display fifo diagnostic data display fifo diagnostic read or write data: before this register is accessed, the diag bit in dc_general_cfg register (see table 5-29 on page 142) should be set high and the dfle bit should be set low. since, each fifo entry is 64 bits , an even number of write operations should be per- formed. each pair of write operations will cause the fifo write pointer to increment automatically. after all write operations have been performed, a single read of don't care data should be performed to load data into the output latch. each subsequent read will contain the appropriate data which was previ- ously written. each pair of read operations will cause the fifo read poi nter to increment automatically. a pause of at least four core clocks should be al lowed between subsequent read operations to allow adequate time for the shift to take place. gx_base+837ch-837fh dc_cfifo_diag register (r/w) default value = xxxxxxxxh 31:0 compressed fifo diagnos- tic data compressed data fifo diagnostic read or write data: before this register is accessed, the diag bit in dc_general_cfg (see table 5-29 on page 142) register should be set high and the dfle bit should be set low. also, the diag bit in dc_ou tput_cfg (see table 5-29 on page 145) should be set high and the cfrw bit in dc_output_cfg should be set low. after each write, the fifo write pointer will automatically increm ent. after all write operations have been performed, the cfrw bit of dc_output_cfg should be set high to enable read a ddresses to the fifo and a single read of don't care data should be performed to load data into the output latch. each subsequent read will contain the appropriate data which was previously written. after each read, the fifo read pointer will automatically increment.
amd geode? gx1 processor data book 153 display controller revision 4.1 5.5.14 cs5530a display controller interface as previously stated in se ction 2.7 "amd geode? gx1/ cs5530a system designs" on page 18, the gx1 proces- sor interfaces with the geode cs5530a companion device. this section will discuss the specifics on signal connec- tions between the two devices with regards to the display controller. because the gx1 processor is used in a system with the cs5530a companion device, the need for an external ramdac is eliminated. the cs5530a contains the dacs, a video accelerator engine, and a tft interface. a gx1 processor and cs5530a-based system supports both flat panel and crt configurations. figure 5-16 shows the signal connections for both types of systems. figure 5-16. display controller signal connections dclk pclk fp_hsync fp_vsync ena_disp vid_rdy vid_clk vid_data[7:0] pixel[17:12] (r) pixel[11:6] (g) hsync vsync r[5:0] g[5:0] b[5:0] clk vdd 12vbkl pin 13 pin 14 pin 3 pin 2 pin 1 amd geode? gx1 processor power control tft enab vga pin 15 pin 12 flat amd geode? cs5530a dclk pclk fp_hsync fp_vsync disp_ena vid_rdy vid_clk vid_data[7:0] pixel[23:18] pixel[15:10] pixel[5:0] (b) vid_val crt_hsync crt_vsync pixel[7:2] vid_val hsync vsync fp_ena_vdd fp_ena_bkl fp_disp_ena_out fp_hsync fp_vsync fp_clk fp_data[17:12] fp_data[11:16] fp_data[5:0] logic hsync_out vsync_out ioutr ioutg ioutb ddc_scl ddc_sda panel port flat panel configuration crt configuration companion device
154 amd geode? gx1 processor data book display controller revision 4.1 5.5.14.1 cs5530a video port data transfer vid_val indicates that the gx1 processor has placed valid data on vid_data[7:0]. vid_rdy indicates that the cs5530a is ready to accept the next byte of video data. vid_data[7:0] is advanced when both vid_val and vid_rdy are asserted. vid_rdy is driven one clock early to the gx1 processor while vid_val is driven coincident with vid_data[7:0]. a sample interface functional timing diagram is shown in figure 5-17. figure 5-17. video port data transfer (cs5530a) vid_clk vid_val 8 clks 8 + 3 clks vid_rdy 3 clks 4 clks 8 clks 1 2 clk clks 1 clk 2 clks 2 clks 4 clks note: vid_clk = core_clk/2 invalid data vid_data [7:0]
amd geode? gx1 processor data book 155 virtual vga subsystem revision 4.1 5.6 virtual vga subsystem this section describes the vi rtual system architecture? (vsa) technology implemented with the amd geode? gx1 processor and vsa enhanced geode cs5530a com- panion device. vsa provides a framework to enable soft- ware implementation of traditionally hardware-only components. vsa software executes in system manage- ment mode (smm), enabling it to execute transparently to the operating system, drivers and applications. the vsa design is based on a simple model for replacing hardware components with software. hardware to be virtu- alized is merely replaced with simple access detection cir- cuitry which asserts the processor?s smi# pin when hardware accesses are detected. the current execution stream is immediately preempted, and the processor enters smm. the smm system software then saves the processor state, initializes the vsa execution environment, decodes the smi source and dispatches handler routines which have registered requests to service the decoded smi source. once all handler routin es have completed, the pro- cessor state is restored and normal execution resumes. in this manner, hardware accesses are transparently replaced with the execution of smm handler software. historically, smm software was used primarily for the single purpose of facilitating active power management for note- book designs. that software?s only function was to manage the power up and down of devices to save power. with high performance processors now available, it is feasible to implement, primarily in smm software, pc capabilities tra- ditionally provided by hardware. in contrast to power man- agement code, this virtualization software generally has strict performance requirement s to prevent application per- formance from being significantly impacted. several functions can be virtualized in a gx1 processor based design using the vsa environment. the vsa enhanced geode cs5530a companion device provides programmable resources to trap both memory and i/o accesses. however, specific hardware is included to sup- port the virtualization of vga core compatibility and audio functionality in the system. the hardware support for vga emulation resides com- pletely inside the gx1 processor. legacy vga accesses do not generate off-chip bu s cycles. however, the vsa sup- port hardware for the xp ressaudio? subsystem resides in the amd geode? cs5530a companion device. 5.6.1 traditional vga hardware a vga card consists of display memory and control regis- ters. the vga display memory shows up in system mem- ory between addresses a0000h and bffffh. it is possible to map this memory to three different ranges within this 128 kb block. the first range is a0000h to affffh for ega and vga modes, the second range is b0000h to b7fffh for mda modes, and the third range is b8000h to bffffh for cga modes. the vga control registers are mapped to the i/o address range from 3b0h to 3dfh. the vga registers are accessed with an indexing scheme that provides more registers than would normally fit into this range. some registers are mapped at two locations, one for monochrome, and another for color. the vga hardware can be accessed by calling bios rou- tines or by directly writing to vga memory and control reg- isters. dos always calls bios to set up the display mode and render characters. many other applications access the vga memory and control registers directly. the vga card can be set up to a virtually unlimited number of modes. however, many applications use one of the predefined modes specified by the bios routine which sets up the dis- play mode. the predefined modes are translated into spe- cific vga control register setu ps by the bios. the standard modes supported by vga cards are shown in table 5-35 on page 155. table 5-35. standard vga modes category mode text or graphics resolution format type software 0,1 text 40x25 characters cga 2,3 text 80x25 characters cga 4,5 graphics 320x200 2-bpp cga 6 graphics 640x200 1-bpp cga 7 text 80x25 characters mda hardware 0dh graphics 320x200 4-bpp ega 0eh graphics 640x200 4-bpp ega 0fh graphics 640x350 1-bpp ega 10h graphics 640x350 4-bpp ega 11h graphics 640x480 1-bpp vga 12h graphics 640x480 4-bpp vga 13h graphics 320x200 8-bpp vga
156 amd geode? gx1 processor data book virtual vga subsystem revision 4.1 a vga is made up of several functional units.  the frame buffer is 256 kb of memory that provides data for the video display. it is organized as 64k 32-bit dwords.  the sequencer decomposes word and dword cpu accesses into byte operations for the graphics controller. it also controls a number of miscellaneous functions, including reset and some clocking controls.  the graphics controller provides most of the interface between cpu data and the frame buffer. it allows the programmer to read and write frame buffer data in different formats. plus provides rop (raster operation) and masking functions.  the crt controller provides video timing signals and address generation for video refresh. it also provides a text cursor.  the attribute controller contains the video refresh datapath, including text rasterization and palette lookup.  the general registers provide status information for the programmer as well as control over vga-host address mapping and clock selection. this is all handled in hard- ware by the graphics pipeline. it is important to understand that a vga is constructed of numerous independent functions. most of the register fields correspond to controls that were originally built out of dis- crete logic or were part of a dedicated controller such as the 6845. the notion of a vga ?mode? is a higher-level convention to denote a particular set of values for the regis- ters. many popular programs do not use standard modes, preferring instead to produce their own vga setups that are optimal for their purposes. 5.6.1.1 vga memory organization the vga memory is organized as 64k 32-bit dwords. this organization is usually presented as four 64 kb ?planes?. a plane consists of one byte out of every dword. thus, plane 0 refers to the least significant byte from every one of the 64k dwords. the addressing gran- ularity of this memory is a dword, not a byte; that is, con- secutive addresses refer to consecutive dwords. the only provision for byte-granularity addressing is the four- byte enable signals used for writes. in c parlance, single_plane_byte = (dword_fb[address] >> (plane * 8)) & 0xff; when dealing with vga, it is important to recognize the distinction between host addresses, frame buffer addresses, and the refresh address pipe. a vga controller contains a lot of hardware to translate between these address spaces in different ways, and understanding these translations is critical to understanding the entire device. in standard four-plane graphics modes, a frame-buffer dword provides eight 4-bit pixels. the left-most pixel comes from bit 7 of each plane, with plane 3 providing the most significant bit. pixel[i].bit[j] = dword_fb[address].bit[i*8 + (7-j)] 5.6.1.2 vga front end the vga front end consists of address and data transla- tions between the cpu and the frame buffer. this function- ality is contained within the graphics controller and sequencer components. most of the front end functionality is implemented in the vga read and write hardware of the gx1 processor. an important axiom of the vga is that the front end and back end are controlled independently. there are no register fields that control the behavior of both pieces. terms like ?vga odd/even mode? are therefore somewhat misleading; there are two different controls for odd/even functionality in the front end, and two separate controls in the refresh path to cause ?sensible? refresh behavior for frame buffer contents written in odd/even mode. normally, all these fields would be set up together, but they don?t have to be. this sort of orthogonal behavior gives rise to the enormous number of possible vga ?modes?. the cpu end of the r ead and write pipelines is one byte wide. word and dword accesses from the cpu to vga memory are broken down into multiple byte accesses by the sequencer. for example, a word write to a0000h (in a vga graphics mode) is processed as if it were two-byte write operations to a0000h and a0001h. 5.6.1.3 address mapping when a vga card sees an address on the host bus, bits [31:15] determine whet her the transaction is for the vga. depending on the mode , addresses 000axxxx, 000b{0xxx}xxx, or 000b{1xxx}xxx can decode into vga space. if the access is for the vga, bits [15:0] provide the dword address into the frame buffer (see odd/even and chain 4 modes, next paragraph). thus, each byte address on the host bus addresses a dword in vga memory. on a write transaction, the byte enables are normally driven from the sequencer?s mapmask register. the vga has two other write address mappings that modify this behavior. in odd/even (chain 2) write mode, bit 0 of the address is used to enable bytes 0 and 2 (if zero) or bytes 1 and 3 (if one). in addition, the address presented to the frame buffer has bit 0 replaced with the pagebit field of the miscellaneous output register. chain 4 write mode is simi- lar; only one of the four byte enables is asserted, based on bits [1:0] of the address, and bits [1:0] of the frame buffer address are set to zero. in each of these modes, the map- mask enables are logically anded into the enables that result from the address. 5.6.1.4 video refresh vga refresh is controlled by two units: the crt controller (crtc) and the attribute cont roller (attr). the crtc pro- vides refresh addresses and video control; the attr pro- vides the refresh datapath, including pixel formatting and internal palette lookup. the vga back end contains two basic clocks: the dot clock (or pixel clock) and the character clock. the clockselect field of the miscellaneous output register selects a ?master clock? of either 25 mhz or 28 mhz. this master clock, optionally divided by two, drives the dot clock. the charac- ter clock is simply the dot clock divided by eight or nine.
amd geode? gx1 processor data book 157 virtual vga subsystem revision 4.1 the vga supports four basic pixel formats. using text for- mat, the vga interprets frame buffer values as ascii char- acters, foreground/background attributes, and font data. the other three formats are all ?graphics modes?, known as apa (all points addressable) modes. these formats could be called cga-compatible (o dd/even 4-bpp), ega-compat- ible (4-plane 4-bpp), and vga-compatible (pixel-per-byte 8- bpp). the format is chosen by the shiftregister field of the graphics controller mode register. the refresh address pipe is an integral part of the crtc, and has many configuration options. refresh can begin at any frame buffer address. the display width and the frame buffer pitch (scan-line delta) are set separately. multiple scan lines can be refreshed from the same frame buffer addresses. the linecompare register causes the refresh address to be reset to zero at a particular scan line, provid- ing support for vertical split-screen. within the context of a single scan line, the refresh address increments by one on every character clock. before being presented to the frame buffer, refresh addresses can be shifted by 0, 1, or 2 bits to the left. these options are often mis-named byte, word, and dword modes. using this shifter, the refresh unit can be programmed to skip one out of two or three out of four dwords of refresh data. as an example of the utility of this function, consider chain 4 mode, described in section 5.6.1.3 "address mapping" on page 156. pixels written in chain 4 mode occupy one out of every four dwords in the frame buffer. if the refresh path is put into ?doubleword? mode, the refresh will come only from those dwords writable in chain 4. this is how vga mode 13h works. in text mode, the attr has a lot of work to do. at each character clock, it pulls a dw ord of data out of the frame buffer. in that dword, plane 0 contains the ascii charac- ter code, and plane 1 contains an attribute byte. the attr uses plane 0 to generate a font lookup address and read another dword. in plane 2, this dword contains a bit- per-pixel representation of one scan line in the appropriate character glyph. the attr transforms these bits into eight pixels, obtaining foreground and background colors from the attribute byte. the crtc must refresh from the same memory addresses for all scan lines that make up a char- acter row; within that row, the attr must fetch successive scan lines from the glyph table so as to draw proper char- acters. graphics modes are somewhat simpler. in cga- compatible mode, a dword provides eight pixels. the first four pixels come from planes 0 and 2; each 4-bit pixel gets bits [3:2] from plane 2, and bits [1:0] from plane 0. the remaining four pixels come from planes 1 and 3. the ega- compatible mode also gets eight pixels from a dword, but each pixel gets one bit from each plane, with plane 3 pro- viding bit 3. finally, vga-compatible mode gets four pixels from each dword; plane 0 provides the first pixel, plane 1 the next, and so on. the 8-bpp mode uses an option to pro- vide every pixel for two dot clocks, thus allowing the refresh pipe to keep up (it only increments on character clocks) and meaning that the 320-pixel-wide mode 13h really has 640 visible pixels per line. the vga color model is unusual. the attr contains a 16-entry color palette with 6 bits per entry. except for 8-bpp modes, all vga configurations drive four bits of pixel data into the palette, which produces a 6- bit result. based on various control registers, this value is then combined with other register contents to produce an 8-bit index into the dac. there is a colorplaneenable reg- ister to mask bits out of the pixel data before it goes to the palette; this is used to emulate four-color cga modes by ignoring the top two bits of each pixel. in 8-bpp modes, the palette is bypassed and the pixel data goes directly to the dac. 5.6.1.5 vga video bios the video bios supports the vesa bios extensions (vbe) version 1.2 and 2.0, as well as all standard vga bios calls. it interacts with virtual vga through the use of several extended vga registers. these are virtual registers contained in the vsa code for virtual vga. (these regis- ters are defined in a separate document.) 5.6.2 virtual vga the gx1 processor reduces the burden of legacy hardware by using a balanced mix of hardware and software to pro- vide the same functionality. the graphics pipeline contains full hardware support for the vga ?front-end?, the logic that controls read and write operat ions to the vga frame buffer (located in graphics memory). for some modes, the hard- ware can also provide direct display of the data in the vga buffer. virtual vga traps frame buffer accesses only when necessary, but it must trap all vga i/o accesses to main- tain the vga state and properly program the graphics pipe- line and display controller. the processor core contains smi generation hardware for vga memory write operations. the bus controller contains smi generation hardware for vga i/o read and write oper- ations. the graphics pipeline contains hardware to detect and process reads and writes to vga memory. vga mem- ory is partitioned from system memory. vga functionality with the gx1 processor includes the standard vga modes (vga, ega, cga, and mda) as well as the higher-resolution vesa modes. the cga and mda modes (modes 0 through 7) require that virtual vga con- vert the data in the vga buffer to a separate 8-bpp frame buffer that the hardware can use for display refresh. the remaining modes, vga, ega, and vesa, can be dis- played directly by the hardware, with no data conversion required. for these modes, virtual vga often outperforms typical vga cards because the frame buffer data does not travel across an external bus. display drivers for popular gui (graphical user interface) based operating systems are provided by amd that enable a full featured 2d hardware accelerator to be used instead of the emulated vga core.
158 amd geode? gx1 processor data book virtual vga subsystem revision 4.1 5.6.2.1 datapath elements the graphics controller contai ns several elements that con- vert between host data and frame buffer data. the rotator simply rotates the byte written from the host by 0 to 7 bits to the right, based on the rotatecount field of the datarotate register. it has no effect in the read path. the display latch is a 32-bit r egister that is loaded on every read access to the frame buffer. all 32 bits of the frame buffer dwords are loaded into the latch. the write-mode unit converts a byte from the host into a 32-bit value. a vga has four write modes:  write mode 0: ? bit n of byte b comes from one of two places, depending on bit b of the enablesetreset register. if that bit is zero, it comes from bit n of the host data. if that bit is one, it comes from bit b of the setreset register. this mode allows the programmer to set some planes from the host data and the others from setreset.  write mode 1: ? all 32 bits come directly out of the display latch; the host data is ignored. this mode is used for screen-to- screen copies.  write mode 2: ? bit n of byte b comes from bit b of the host data; that is, the four lsbs of the hos t data are each replicated through a byte of the resu lt. in conjunction with the bitmask register, this mode allows the programmer to directly write a 4-bit color to one or more pixels.  write mode 3: ? bit n of byte b comes from bit b of the setreset register. the host data is anded with the bitmask register to provide the bit mask for the write (see below). the read mode unit converts a 32-bit value from the frame buffer into a byte. a vga has two read modes:  read mode 0: ? one of the four bytes from the frame buffer is returned, based on the value of the readmapselect register. in chain 4 mode, bits [1:0] of the read address select a plane. in odd/even read mode, bit 0 of the read address replac es bit 0 of readmapselect.  read mode 1: ? bit n of the result is set to 1 if bit n in every byte b matches bit b of the colorcompare register; other- wise it is set to 0. there is a colordon?tcare register that can exclude planes from this comparison. in four-plane graphics modes, this provides a conver- sion from 4-bpp to 1-bpp. the alu is a simple two-operand rop unit that operates on writes. its operating modes are copy, and, or, and xor. the 32-bit inputs are: 1) the output of the write-mode unit and 2) the display latch (not necessarily the value at the frame buffer address of the write). an application that wishes to perform rops on the source and destination must first byte read the address (to load the latch) and then immediately write a byte to the same address. the alu has no ef fect in write mode 1. the bit mask unit does not provide a true bit mask. instead, it selects between the alu output and the display latch. the mask is an 8-bit value, and bit n of the mask makes the selection for bit n of all four bytes of the result (a zero selects the latch). no bit masking occurs in write mode 1. the vga hardware of the gx1 processor does not imple- ment write mode 1 directly, but it can be indirectly imple- mented by setting the bitmask to zero and the alu mode to copy. this is done by the smm code so there are no compatibility issues with applications. 5.6.2.2 gx1 vga hardware the gx1 processor core contains hardware to detect vga accesses and generate smi interrupts. the graphics pipe- line contains hardware to detect and process reads and writes to vga memory. the vga memory on the gx1 pro- cessor is partitioned from system memory. the gx1 pro- cessor has the following hardware components to assist the vga emulation software:  smi generation  vga range detection  vga sequencer  vga write/read path  vga address generator  vga memory 5.6.2.3 smi generation vga emulation software is notified of vga memory accesses by an smi generated in dedicated circuitry in the processor core that detects and traps memory accesses. the smi generation hardware for vga memory addresses is in the second stage of in struction decoding on the pro- cessor core. this is the earlie st stage of instruction decode where virtual addresses have been translated to physical addresses. trapping after the execution stage is impracti- cal, because memory write buffering will allow subsequent instructions to execute. the vga emulation code requires the smi to be generated immediately when a vga access occurs. the smi genera- tion hardware can optionally exclude areas of vga mem- ory, based on a 32-bit register which has a control bit for each 2 kb region of the vga memory window. the control bit determines whether or not an smi interrupt is generated for the corresponding region. the purpose of this hardware is to allow the vga emulation software to disable smi inter- rupts in vga memory regions that are not currently dis- played.
amd geode? gx1 processor data book 159 virtual vga subsystem revision 4.1 for direct display modes (8-bpp or 16-bpp) in the display controller, virtual vga can operate without smi generation. the smi generation circuit on the gx1 processor has con- figuration registers to control and mask smi interrupts in the vga memory space. 5.6.2.4 vga range detection the vga range detection circuit is similar to the smi gener- ation hardware, however, it resides in the internal bus inter- face address mapping unit. the purpose of this hardware is to notify the graphics pipeline when accesses to the vga memory range a0000h to bf fffh are detected. the graphics pipeline has vga read and write path hardware to process vga memory accesses. the vga range detection can be configured to trap vga memory accesses in one or more of the following ranges: a0000h to affffh (ega,vga), b0000h to b7fffh (mda), or b8000h to bffffh (cga). 5.6.2.5 vga sequencer the vga sequencer is located at the front end of the graphics pipeline. the purpose of the vga sequencer is to divide up multiple-byte read and write operations into a sequence of single-byte read and write operations. 16-bit or 32-bit x-bus write operations to vga memory are divided into 8-bit write operations and sent to the vga write path. 16-bit or 32-bit x-bus read operations from vga memory are accumulated from 8-bit read operations over the vga read path. the sequencer generates the lower two bits of the address. 5.6.2.6 vga write/read path the vga write path implements standard vga write opera- tions into vga memory. no smi is generated for write path operations when the vga access is not displayed. when the vga access is displayed, an smi is generated so that the smi emulation can update the frame buffer. the vga write path converts 8-bit write operations from the sequencer into 32-bit vga memory write operations. the operations performed by the vga write path include data rotation, raster operation (alu), bit masking, plane select, plane enable, and write modes. the vga read path implements standard vga read opera- tions from vga memory. no smi is needed for read-path operations. the vga read path converts 32-bit read opera- tions from vga memory to 8-bit data back to the sequencer. the basic operations performed by the vga read path include color compare, plane-read select, and read modes. 5.6.2.7 vga address generator the vga address generator translates vga memory addresses up to the address where the vga memory resides on the gx1 processor. the vga address generator requires the address from the vga access (a0000h to bffffh), the base of the vga memory on the gx1 pro- cessor, and various control bits. the control bits are neces- sary because addressing is complicated by odd/even and chain 4 addressing modes. 5.6.2.8 vga memory the vga memory requires 256 kb of memory organized as 64 kb by 32 bits. the vga memory is implemented as part of system memory. the gx1 processor partitions sys- tem memory into two areas, normal system memory and graphics memory. system memory is mapped to the nor- mal physical address of the dram, starting at zero and ending at memory size. graphics memory is mapped into high physical memory, contiguous to the registers and ded- icated cache of the gx1 processor. the graphics memory includes the frame buffer, compression buffer, cursor mem- ory, and vga memory. the vga memory is mapped on a 256 kb boundary to simplify the address generation 5.6.3 vga configuration registers smi generation can be configured to trap vga memory accesses in one of the following ranges: a0000h to affffh (ega,vga), b0000h to b7fffh (mda), or b8000h to bffffh (cga). range selection is accomplished through programmable bits in the vgactl register (index b9h). fine control can be exercised within the range selected to allow off-screen accesses to occur without generating smis. smi generation can also separately control the following i/ o ranges: 3b0h to 3bfh, 3c0h to 3cfh, and 3d0h to 3dfh. the bc_xmap_1 register (gx_base+8004h) in the internal bus inte rface unit has an enable/disable bit for each of the address ranges above. the vga control register (vgactl) provides control for smi generation through an enable bit for memory address ranges a0000h to bffffh. each bit controls whether or not smi is generated for accesses to the corresponding address range. the default value of this register is zero so that vga accesses will not be trapped on systems with an external vga card. the vga mask register (vgam) has 32 bits that can selec- tively mask 2 kb regions within the vga memory region a0000h to affffh. if none of the three regions is enabled in vgactl, then the contents of vgam are ignored. vgam can be used to prevent the occurrence of smi when non-displayed vga memory is accessed. this is an enhancement that improves performance for double-buff- ered applications only. table 5-36 summarizes the vga configuration registers. detailed register/bit formats are given in table 5-37. see section 4.3.2.2 "configuration registers" on page 53 on how to access these registers.
160 amd geode? gx1 processor data book virtual vga subsystem revision 4.1 table 5-36. vga configuration register summary index type name/function default value b9h r/w vgactl. vga control register 00h (smi generation disabled) bah-bdh r/w vgam. vga mask register xxxxxxxxh table 5-37. vga configuration registers bit description index b9h vgactl register (r/w) default value = 00h 7:3 reserved : set to 0. 2 smi generation for vga memory range b8000h to bffffh: 0 = disable; 1 = enable. 1 smi generation for vga memory range b0000h to b7fffh: 0 = disable; 1 = enable. 0 smi generation for vga memory range a0000h to affffh: 0 = disable; 1 = enable. index bah-bdh vgam register (r/w) default value = xxxxxxxxh 31 smi generation for address range af800h to affffh: 0 = disable; 1 = enable. 30 smi generation for address range af000h to af7ffh: 0 = disable; 1 = enable. 29 smi generation for address range ae800h to aefffh: 0 = disable; 1 = enable. 28 smi generation for address range ae000h to ae7ffh: 0 = disable; 1 = enable. 27 smi generation for address range ad800h to adfffh: 0 = disable; 1 = enable. 26 smi generation for address range ad000h to ad7ffh: 0 = disable; 1 = enable. 25 smi generation for address range ac800h to acfffh: 0 = disable; 1 = enable. 24 smi generation for address range ac000h to ac7ffh: 0 = disable; 1 = enable. 23 smi generation for address range ab800h to abfffh: 0 = disable; 1 = enable. 22 smi generation for address range ab000h to ab7ffh: 0 = disable; 1 = enable. 21 smi generation for address range aa800h to aafffh: 0 = disable; 1 = enable. 20 smi generation for address range aa000h to aa7ffh: 0 = disable; 1 = enable. 19 smi generation for address range a9800h to a9fffh: 0 = disable; 1 = enable. 18 smi generation for address range a9000h to a97ffh: 0 = disable; 1 = enable. 17 smi generation for address range a8800h to a8fffh: 0 = disable; 1 = enable. 16 smi generation for address range a8000h to a87ffh: 0 = disable; 1 = enable. 15 smi generation for address range a7800h to a7fffh: 0 = disable; 1 = enable. 14 smi generation for address range a7000h to a77ffh: 0 = disable; 1 = enable. 13 smi generation for address range a6800h to a6fffh: 0 = disable; 1 = enable. 12 smi generation for address range a6000h to a67ffh: 0 = disable; 1 = enable. 11 smi generation for address range a5800h to a5fffh: 0 = disable; 1 = enable. 10 smi generation for address range a5000h to a57ffh: 0 = disable; 1 = enable. 9 smi generation for address range a4800h to a4fffh: 0 = disable; 1 = enable. 8 smi generation for address range a4000h to a47ffh: 0 = disable; 1 = enable. 7 smi generation for address range a3800h to a3fffh: 0 = disable; 1 = enable. 6 smi generation for address range a3000h to a37ffh: 0 = disable; 1 = enable. 5 smi generation for address range a2800h to a2fffh: 0 = disable; 1 = enable. 4 smi generation for address range a2000h to a27ffh: 0 = disable; 1 = enable. 3 smi generation for address range a1800h to a1fffh: 0 = disable; 1 = enable. 2 smi generation for address range a1000h to a17ffh: 0 = disable; 1 = enable. 1 smi generation for address range a0800h to a0fffh: 0 = disable; 1 = enable. 0 smi generation for address range a0000h to a07ffh: 0 = disable; 1 = enable.
amd geode? gx1 processor data book 161 virtual vga subsystem revision 4.1 5.6.4 virtual vga register descriptions this section describes the registers contained in the graph- ics pipeline used for vga emulation. the graphics pipeline maps 200h locations starting at gx_base+8100h. refer to section 5.1.2 "control registers" on page 100 for instructions on accessing these registers. the registers are summarized in table 5-38, followed by detailed bit formats in table 5-39. table 5-38. virtual vga register summary gx_base+ memory offset type name/function default value 8140h-8143h r/w gp_vga_write graphics pipeline vga write patch control register: controls the vga memory write path in the graphics pipeline. xxxxxxxxh 8144h-8147h r/w gp_vga_read graphics pipeline vga read patch control register: controls the vga memory read path in the graphics pipeline. 00000000h 8210h-8213h r/w gp_vga_base vga graphics pipeline vga memory base address register: specifies the offset of the vga memory, starting from the base of graphics memory. xxxxxxxxh 8214h-8217h r/w gp_vga_latch graphics pipeline vga display latch register: provides a memory mapped way to read or write the vga display latch. xxxxxxxxh table 5-39. virtual vga registers bit name description gx_base+8140h-8143h gp_vga_write register (r/w) default value = xxxxxxxxh 31:28 rsvd reserved: set to 0. 27:24 map_mask map mask: enables planes 3 through 0 for writing. combi ned with chain control to determine the final enables. 23:21 rsvd reserved: set to 0. 20 w3 write mode 3: selects write mode 3 by using the bit mask with the rotated data. 19 w2 write mode 2: selects write mode 2 by controlling set/reset. 18:16 rc rotate count: controls the 8-bit rotator. 15:12 sre set/reset enable: enables the set/reset value for each plane. 11:8 sr set/reset: selects 1 or 0 for each plane if enabled. 7:0 bit_mask bit mask: selects data from the data latches (last read data). gx_base+8144h-8147h gp_vga_read register (r/w) default value = 00000000h 31:18 rsvd reserved: set to 0. 17:16 rms read map select: selects which plane to read in read mode 0 (chain 2 and chain 4 inactive). 15 f15 force address bit 15: forces address bit 15 to 0. 14 pc4 packed chain 4: provides 64 kb of packed pixel addressing when used with chain 4 mode. this bit causes the vga addresses to be shifted right by 2 bits. 13 c4 chain 4 mode: selects chain 4 mode for both read operations and write operations. this overrides bits 10 and 9 of this register. 12 pb page bit: becomes lsb of address if coe is set high. 11 coe chain odd/even: selects pb rather than a0 for least-significant vga address bit. 10 w2 write chain 2 mode: selects chain 2 mode for write operations. bit 13 overrides this bit. 9r2 read chain 2 mode: selects chain 2 mode for read operat ions. bit 13 overrides this bit. 8rm read mode: selects between read mode 0 (normal) and read mode 1 (color compare).
162 amd geode? gx1 processor data book virtual vga subsystem revision 4.1 7:4 ccm color compare mask: selects planes to include in the color comparison (read mode 1). 3:0 cc color compare: specifies value of each plane for color comparison (read mode 1). gx_base+8210h-8213h gp_vga_base (r/w) default value = xxxxxxxxh 31:14 rsvd reserved: set to 0. 13:8 vga_rd _base read base address: the vga base address is added to the gr aphics memory base to specify where vga memory starts. the vga base address provides address bits [19:14] when mapping vga accesses into graphics memory. this allows the vga base address to start on any 64 kb boundary within the 4 mb of graphics memory. this register is us ed for reads to the vga trace buffer. 7:6 rsvd reserved: set to 0. 5:0 vga_wr _base write base address: the vga base address is added to the graphics memory base to specify where vga memory starts. the vga base address provides address bits [19:14] when mapping vga accesses into graphics memory. this allows the vga base address to start on any 64 kb boundary within the 4 mb of graphics memory. this register is us ed for writes to the vga trace buffer. gx_base+8214h-8217h gp_vga_latch register (r/w) default value = xxxxxxxxh 31:0 latch display latch: specifies the value in the vga display latc h. vga read operations cause vga frame buffer data to be latched in the display latch. vga write operat ions can use the display latch as a source of data for vga frame buffer write operations. table 5-39. virtual vga registers (continued) bit name description
amd geode? gx1 processor data book 163 pci controller revision 4.1 5.7 pci controller the amd geode? gx1 processor includes an integrated pci controller with the following features. 5.7.1 x-bus pci slave  16-byte pci write buffer  16-byte pci read buffer from x-bus  supports cache line bursting  write/inv line support  pacing of data for read or write operations with x-bus  no active byte enable transfers supported 5.7.2 x-bus pci master  16 byte x-bus to pci write buffer  configuration read/write support  int acknowledge support  lock conversion  support fast back-to-back cycles as slave 5.7.3 pci arbiter  fixed, rotating, hybrid, or ping-pong arbitration (programmable)  support four masters, three on pci  internal req for cpu  master retry mask counter  master dead timer  resource or total system lock support 5.7.4 generating configuration cycles configuration space is a physical address space unique to pci. configuration mechanism #1 must be used by soft- ware to generate configuration cycles. two dword i/o locations are used in this mechanism. the first dword location (cf8h) references a read/write register that is named config_address. the second dword address (cfch) references a register named config_data. the general method for accessing config- uration space is to write a value into config_address that specifies a pci bus, a devi ce on that bus, and a config- uration register in that device being accessed. a read or write to config_data will then cause the bridge to trans- late that config_address va lue to the requested con- figuration cycle on the pci bus. 5.7.5 generating special cycles a special cycle is a broadcast message to the pci bus. two hardcoded special cycle messages are defined in the command encode: halt and shutdown. software can also generate special cycles by using special cycle genera- tion for configuration mechanism #1 as described in the pci specification 2.1 and briefly described here. to initiate a special cycle from software, the host must write a value to config_address encoded as shown in table 5-40. the next value written to config_data is the encoded special cycle. type 0 or type 1 conversion will be based on the bus bridge number matchi ng the gx1 processor?s bus number of 00h. table 5-40. special cycl e code to config_address 1 31 30 2423222120191817161514131211109 8 765432 1 0 1 0000000 bus no. = bridge 11111 1 1 1 000000 config enable rsvd bus number device number function number register number trans- lation type 1. see table 5-41 on page 164, bits [1:0] for translation type.
164 amd geode? gx1 processor data book pci controller revision 4.1 5.7.6 pci configuration space control registers there are two registers in this category: config_address and config_data. the config_address register contai ns the address information for the next configuration space access to config_data. only dword accesses are permitted to this register. all others will be forwarded as normal i/o cycles to the pci bus. the config_data register cont ains the data that is sent or received during a pci configuration space access. table 5-41 gives the bit formats for these two registers. table 5-41. pci configuration registers bit name description i/o offset 0cf8h-0cfbh config_address register (r/w) default value = 00000000h 31 cfg_en config enable: determines when accesses should be translated to configuration cycles on the pci bus, or treated as a normal i/o operation. this regi ster will be updated only on full dword i/o operations to the config_address. any other accesses are tr eated as normal i/o cycles in order to allow i/o devices to use byte or word registers at the same address and remain unaffected. once bit 31 is set high, subsequent accesses to config_data ar e then translated to configuration cycles. 1 = generate configuration cycles. 0 = normal i/o cycles. 30:24 rsvd reserved: set to 0. 23:16 bus bus: specifies a pci bus number in the hierarchy of 1 to 256 buses. 15:11 device device: selects a device on a specified bus. a device value of 00h will select the gx1 processor if the bus number is also 00h. device values of 01h to 15h wi ll be mapped to ad[31:11], so only 21 of the 32 possi- ble devices are supported. a device value of 00001b wi ll map to ad[11] while a device of 10101b will map to ad[31]. 10:8 function function: selects a function in a multi-function device. 7:2 register register: chooses a configuration dword spac e register in the selected device. 1:0 tt translation type bits: these bits indicate if the configuration access is local or one that requires transla- tion through other bridges to another pci bus. when an access occurs to the config_data address and the specified bus number matches the gx1 processo r?s bus number (00h), then a type 0 translation takes place. for a type 0 translation, the config_address register va lues are translated to ad lines on the pci bus. note that bits [10:2] are passed unchanged. the device value is mapped to one of 21 ad lines. the translation type bits are set to 00 to indi cate a transaction on the local pci bus. when an access occurs to the config_data address and the specified bus number is not 00h (type 1), the gx1 processor passes th is cycle to the pci bus by copying the contents of the config_address register onto the ad lines during t he address phase of the cycle while driving the translation type bits ad[1:0] to 01. i/o offset 0cfch-0cffh config_data (r/w) default value = 00000000h 31:0 config _data configuration data register: contains the data that is sent or received during a pci configuration space access. the register accessed is determined by the value in the config_address register. the config_data register supports byte, word, or dword accesses. to access this register, bit 31 of the config_address register must be set to 0 and a full dword i/o access must be done. configuration cycles are performed when bit 31 of the config_address register is set to 1.
amd geode? gx1 processor data book 165 pci controller revision 4.1 5.7.7 pci configuration space registers to access the internal pci configuration registers of the gx1 processor, the configuration address register (config_address) must be wr itten as a dword using the format shown in table 5-42. any other size will be inter- preted as an i/o write to port 0cf8h. also, when entering the configuration index, only the six most significant bits of the offset are used, and the tw o least significant bits must be 00b. table 5-43 summarizes the registers located within the configuration space. the tables that follow, give detailed register/bit formats. table 5-42. format for accessing the internal pci configuration registers 313029282726252423222120191817161514131211109876543210 1 reserved 0000000000000000 configuration index 00 table 5-43. pci configuration space register summary index type name default value 00h-01h ro vendor identification 1078h 02h-03h ro device identification 0001h 04h-05h r/w pci command 0007h 06h-07h r/w device status 0280h 08h ro revision identification 00h 09h-0bh ro class code 060000h 0ch ro cache line size 00h 0dh r/w latency timer 00h 0eh-3fh -- reserved 00h 40h r/w pci control function 1 00h 41h r/w pci control function 2 96h 42h -- reserved 00h 43h r/w pci arbitration control 1 80h 44h r/w pci arbitration control 2 00h 45h-ffh -- reserved 00h
166 amd geode? gx1 processor data book pci controller revision 4.1 table 5-44. pci configuration registers bit name description index 00h-01h vendor identification register (ro) default value = 1078h 31:0 vid (ro) vendor identification register (read only): the combination of this va lue and the device id uniquely identifies any pci device. the vendor id is the id given to amd by the pci sig. index 02h-03h device identification register (ro) default value = 0001h 31:0 dir (ro) device identification register (read only): this value along with the vendor id uniquely identifies any pci device. index 04h-05h pci command register (r/w) default value = 0007h 15:10 rsvd reserved: set to 0. 9fbe fast back-to-back enable (ro): as a master, the gx1 processor does not support this function. this bit returns 0. 8 serr serr# enable: this is used as an output enable gate for the serr# driver. 7wat wait cycle control: gx1 processor does not do address/data stepping. this bit is always set to 0. 6pe parity error response: 0 = gx1 processor ignores parity errors on the pci bus. 1 = gx1 processor checks for parity errors. 5vps vga palette snoop: gx1 processor does not support this function. this bit is always set to 0. 4ms memory write and invalidate enable: as a master, the gx1 processo r does not support this function. this bit is always set to 0. 3 spc special cycles: gx1 processor does not respond to special cycles on the pci bus. this bit is always set to 0. 2bm bus master: 0 = gx1 processor does not perform master cycles on the pci bus. 1 = gx1 processor can act as a bus master on the pci bus. 1ms memory space: gx1 processor will always respond to memory cycles on the pci bus. this bit is always set to 1. 0ios i/o space: gx1 processor will not respond to i/o accesses from the pci bus. this bit is always set to 1. index 06h-07h pci device status register (ro, r/w clear) default value = 0280h 15 dpe detected parity error: when a parity error is detected, this bit is set to 1. this bit can be cleared to 0 by writing a 1 to it. 14 sse signaled system error: this bit is set whenever serr# is driven active. 13 rma received master abort: this bit is set whenever a master abort cycle occurs. a master abort will occur whenever a pci cycle is not clai med except for special cycles. this bit can be cleared to 0 by writing a 1 to it. 12 rta received target abort: this bit is set whenever a target abor t is received while the gx1 processor is master of the cycle. this bit can be cleared to 0 by writing a 1 to it. 11 sta signaled target abort: this bit is set whenever the gx1 proces sor signals a target abort. a target abort is signaled when an address parity occurs for an address that hits in the gx1 pr ocessor?s address space. this bit can be cleared to 0 by writing a 1 to it.
amd geode? gx1 processor data book 167 pci controller revision 4.1 10:9 dt device timing: the gx1 processor performs medium devsel# active for addresses that hit into the gx1 processor address space. these two bits are always set to 01. 00 = fast 01 = medium 10 = slow 11 = reserved 8dpd data parity detected: this bit is set when all three conditions are met. 1) gx1 processor asserted pe rr# or observed perr# asserted; 2) gx1 processor is the master for t he cycle in which the perr# occurred; and 3) pe (bit 6 of command register) is enabled. this bit can be cleared to 0 by writing a 1 to it. 7fbs fast back-to-back capable: as a target, the processor is capable of accepting fast back-to-back trans- actions. this bit is always set to 1. 6:0 rsvd reserved: set to 0. index 08h revision identification register (ro) default value = 00h 7:0 rid (ro) revision id (read only): this register contains the re vision number of the gx1 design. index 09h-0bh class code register (ro) default value = 060000h 23:16 class class code: the class code regist er is used to identify the generic function of the device. the gx1 processor is classified as a host bridge device (06). 15:0 rsvd (ro) reserved (read only) index 0ch cache line size register (ro) default value = 00h 7:0 cacheline cache line size (read only): the cache line size register specifies the system cache line size in units of 32-bit words. this function is not supported in the gx1 processor. index 0dh latency timer register (r/w) default value = 00h 7:5 rsvd reserved: set to 0. 4:0 lat_timer latency timer: the latency timer as used in this implement ation will prevent a system lockup resulting from a slave that does not respond to the master. if the register value is set to 00h, the timer is disabled. otherwise, timer represents the 5 msbs of an 8-bi t counter. the counter will reset on each valid data transfer. if the counter expires before the next trdy# is received active, then the slave is considered to be incapable of responding, and the master will stop the transaction with a master abort and flag an serr# active. this would also keep the master from being retri ed forever by a slave device that continues to issue retries. in these cases, the master will also stop the cycle with a master abort. index 0eh-3fh reserved default value = 00h index 40h pci control function 1 register (r/w) default value = 00h 7 rsvd reserved: set to 0. 6sw single write mode: gx1 as a pci slave supports: 0 = multiple pci write cycles 1 = single cycle write transfers on the pci bus. the slave will perform a target disconnect with the first data transferred. 5sr single read mode: gx1 as a pci slave supports: 0 = multiple pci read cycles. 1 = single cycle read transfers on the pci bus. the slave will perform a target disconnect with the first data transferred. table 5-44. pci configuration registers (continued) bit name description
168 amd geode? gx1 processor data book pci controller revision 4.1 4rxbne force retry when x-bus buffers are not empty: gx1 as a pci slave: 0 = accepts the pci cycle with data in the pci master write buffers. the data in the pci master write buff- ers will not be affected or corrupted. the pci mast er holds request active indicating the need to access the pci bus. 1 = retries cycles if the pci master x- bus write buffers contain buffered data. 3swbe pci slave write buffer enable: gx1 pci slave write buffers: 0 = disable; 1 = enable. 2clre pci cache line read enable: read operations from the pci into the gx1 processor: 0 = single cycle unless a read multiple or memory read line command is used. 1 = cause a cache line read to occur. 1xbe x-bus burst enable: enable x-bus bursting when an external master performs pci write/invalidate cycles. 0 = disable; 1 = enable. (this bit does not control read bursting; bit 2 does.) 0bkoff back off: when enabled, external masters can access system memory even when a cpu cycle has been retried. prevents a live_lock condition. 0 = enable (highly recommended); 1 = disable index 41h pci control function 2 register (r/w) default value = 96h 7 rsvd reserved: set to 0. 6rw_clk raw clock (write only): a debug signal used to view internal clock operation. 0 = enable; 1 = disable. reading this bit returns invalid data. 5pfs perr# forces serr#: pci master drives an active serr# anytim e it also drives or receives an active perr#: 0 = disable; 1 = enable. 4xwb x-bus to pci write buffer: enable gx1 processor pci master?s x-bus write buffers (non-locked memory cycles are buffered, i/o cycles and lock cycles are not buffered): 0 = disable; 1 = enable. 3:2 sdb slave disconnect boundary: gx1 as a pci slave issues a disconnec t with burst data when it crosses line boundary: 00 = 128 bytes 01 = 256 bytes 10 = 512 bytes 11 = 1024 bytes works in conjunction with bit 1. 1sdbe slave disconnect boundary enable: gx1 as a pci slave: 0 = disconnects on boundaries set by bits [3:2]. 1 = disconnects on cache line boundary which is 16 bytes. 0xws x-bus wait state enable: the pci slave acting as a master on the x-bus will insert wait states on write cycles for data setup time. 0 = disable; 1 = enable. index 42h reserved default value = 00h index 43h pci arbitration control 1 register (r/w) default value = 80h 7bg bus grant: 0 = grants bus regardless of x-bus buffers. 1 = grants bus only if x-bus buffers are empty. 6 rsvd reserved: set to 1. 5rme2 req2# retry mask enable: arbiter allows the req2# to be ma sked based on the master retry mask in bits [2:1]: 0 = disable; 1 = enable. 4rme1 req1# retry mask enable: arbiter allows the req1# to be ma sked based on the master retry mask in bits [2:1]: 0 = disable; 1 = enable. 3rme0 req0# retry mask enable: arbiter allows the req0# to be ma sked based on the master retry mask in bits [2:1]: 0 = disable; 1 = enable. table 5-44. pci configuration registers (continued) bit name description
amd geode? gx1 processor data book 169 pci controller revision 4.1 2:1 mrm master retry mask: when a target issues a retry to a master , the arbiter can mask the request from the retried master in order to allow other lower order masters to gain access to the pci bus: 00 = no retry mask 01 = mask for 16 pci clocks 10 = mask for 32 pci clocks 11 = mask for 64 pci clocks 0hxr hold x-bus on retries: arbiter holds the x-bus x_hold for two additional clocks to see if the retried master will request the bus again: 0 = disable; 1 = enable (this may prevent retry thrashing in some cases.) index 44h pci arbitration control 2 register (r/w) default value = 00h 7pp ping-pong: 0 = arbiter grants the processor bus per the setting of bits [2:0]. 1 = arbiter grants the processor bus ownership of the pci bus every other arbitration cycle. 6:4 fac fixed arbitration controls: these bits control the priority under fixe d arbitration. the priority table is as follows (priority listed highest to lowest): 000 = req0#, req1#, req2# 001 = req1#, req0#, req2# 010 = req0#, req2#,req1# 011 = reserved 100 = req1#, req2#, req0# 101 = reserved 110 = req2#, req1#, req0# 111 = req2#, req0#, req1# the rotation arbitration bits [2:0] must be set to 000 for full fixed arbitration. if rotation bits are not set to 000, then hybrid arbitration will oc cur. if ping-pong is enabled (bit 7 = 1) , the processor will have priority every other arbitration. in this mode, the arbiter grants the pci bus to a master and ignores all other requests. when the master finishes , the processor will be guaranteed a ccess. at this point pci requests will again be recognized. this wi ll switch arbitration from cp u to pci to cpu to pci, etc. 3 rsvd reserved: set to 0. 2:0 rac rotating arbitration controls: these bits control the priori ty under rotating arbitration. 000 = fixed arbitration will occur. 111 = full rotating arbi tration will occur. when these bits are set to other val ues, hybrid arbitration will occur. index 45h-ffh reserved default value = 00h table 5-44. pci configuration registers (continued) bit name description
170 amd geode? gx1 processor data book pci controller revision 4.1 5.7.8 pci cycles the following sections and diagrams provide the functional relationships for pci cycles. 5.7.8.1 pci read transaction a pci read transaction consists of an address phase and one or more data phases. data phases may consist of wait cycles and a data transfer. figure 5-18 illustrates a pci read transaction. in this example, there are three data phases. the address phase begins on clock 2 when frame# is asserted. during the address phase, ad[31:0] contains a valid address and c/be[3:0]# contains a valid bus com- mand. the first data phase begins on clock 3. during the data phase, ad[31:0] contains data and c/be[3:0]# indi- cate which byte lanes of ad[31: 0] carry valid data. the first data phase completes with zero delay cycles. however, the second phase is delayed one cycle because the target was not ready so it deasserted trdy# on clock 5. the last data phase is delayed one cycle because the master deas- serted irdy# on clock 7. for additional information refer to chapter 3.3.1, read transaction, of the pci local bus specification, revision 2.1. figure 5-18. basic read operation clk frame# ad c/be# data-1 data-2 data-3 addr bus cmd be#s irdy# trdy# devsel# bus transaction addr phase data phase data phase data phase data transfer wait wait data transfer wait data transfer
amd geode? gx1 processor data book 171 pci controller revision 4.1 5.7.8.2 pci writ e transaction a pci write transaction is similar to a pci read transaction, consisting of an address phase and one or more data phases. since the master provides both address and data, no turnaround cycle is required following the address phase. the data phases work the same for both read and write transactions. figure 5-19 illustrates a write transac- tion. the address phase begins on clock 2 when frame# is asserted. the first and second data phases complete with- out delays. during data phase 3, the target inserts three wait cycles by deasserting trdy#. for additional information refer to chapter 3.3.2, write transaction, of the pci local bus specification, revision 2.1. figure 5-19. basic write operation clk frame# ad c/be# data-2 data-3 addr irdy# trdy# devsel# bus transaction addr phase data phase data phase data phase data transfer wait wait wait data transfer data-1 be#s-2 be#s-3 bus cmd be#s-1 data transfer
172 amd geode? gx1 processor data book pci controller revision 4.1 5.7.8.3 pci arbitration an agent requests the bus by asserting its req#. based on the arbitration scheme set in the pci arbitration control 2 register (index 44h), the gx1 processor?s pci arbiter will grant the request by asserting gnt#. figure 5-20 illus- trates basic arbitration. req#-a is asserted at clk 1. the pci arbiter grants access to agent a by assertin g gnt#-a on clk 2. agent a must begin a transaction by asserting frame# within 16 clocks, or the gx1?s pci arbiter will remove gnt#. also, it is possible for agent a to lose bus ownership sooner if another agent with higher priority requests the bus. how- ever, in this example, agent b is of higher priority than agent a. when agent b requests the bus on clk 2, agent a is allowed to proceed per specification. agent a starts its transaction on clk 3 by asserting frame# and completes its transaction. since agent a requests another transac- tion, req#-a remains asserted. when frame# is asserted on clk 3, the pci arbiter determines agent b should go next, asserts gnt#-b and deasserts gnt#-a on clk 4. agent b requires only a single transaction. it com- pletes the transaction, then deasserts frame# and req#-b on clk 6. the pci arbiter can then grant access to agent a, and does so on clk 7. note that all buffers must flush before a grant is given to a new agent. for additional information refer to chapter 3.4.1, arbitration signaling protocol, of the pci local bus specification, revision 2.1. 5.7.8.4 pci halt command halt is a broadcast message from the gx1 processor indi- cating it has executed a hlt instruction. the pci special cycle command is used to broadcast the message to all agents on the bus segment. during the address phase of the halt special cycle, c/be[ 3:0]# = 0001 and ad[31:0] are driven to arbitrary values. during the data phase, c/ be[3:0]# = 1100 indicating bytes 1 and 0 are valid and ad[15:0] = 0001h. for additional information, refer to chapter 3.7.2, special cycle, and appendix a, special cycle messages, of the pci local bus specification, revision 2.1. figure 5-20. basic arbitration clk req#-a req#-b gnt#-a gnt#-b frame# ad data addr data addr agent-a agent-b 123456789
amd geode? gx1 processor data book 173 power management revision 4.1 6.0 power management power consumption in an amd geode? gx1 processor based system is managed with the use of both hardware and software. the complete hardware solution is provided for only when the gx1 processor is combined with the amd geode cs5530a companion device. the gx1 processor power consumption is managed prima- rily through a sophisticated clock stop management tech- nology. the gx1 processor also provides the hardware enablers from which the complete power management solution depends on. typically the three greatest power consumers in a battery powered device are the display, the hard drive (if it has one) and the cpu. managing power for the first two is relatively straightforward and is discussed in the amd geode? cs5530a companion device data book . managing cpu power is more difficult since effective use of the clock stop technology requires effective det ection of inactivity, both at a system level and at a code processing level. basically two methods are supported to manage power during periods of inactivity. the first method, called activity based power management allows the hardware in the geode cs5530a to monitor activity to certain devices in the system and if a period of inactivity occurs take some form of power conservation action. this method does not require os support because this support is handled by smm software. simple monitoring of external activity is imperfect as well as inefficient. the second method, called passive power management, requires the os to take the active role in managing power. amd supports two applica- tion programming interfaces (apis) to enable power man- agement by the os: advanced power management (apm) and advanced configuration and power interface (acpi). these two methods can be used independent of one another or they can be used to gether. the extent to which these resources are employed depends on the application and the discre tion of the system designer. the gx1 processor and geode cs5530a companion device contain advanced power management features for reducing the power consumption of the processor in the system. 6.1 features the gx1 processor based system supports the following power management features:  gx1 processor hardware: ? system management mode (smm) ? suspend-on-halt ? cpu suspend ? 3 volt suspend ? gx1 processor serial bus  geode cs5530a companion device hardware: ? i/o activity monitoring ? smi generation ? cpu suspend control ? suspend modulation ? 3 volt suspend ? acpi hardware  software: ? api for apm aware os ? api for acpi aware os ? pm vsa for not pm aware os?s the geode cs5530a companion device?s power manage- ment support is discussed in this specification only when necessary to better explain the gx1 processor?s power management features. software support of power management is discussed in this specification only when necessary to better explain the gx1 processor?s power management features.
174 amd geode? gx1 processor data book power management revision 4.1 6.1.1 system management mode the gx1 processor has an operation mode called system management mode. this mode is generally entered when the smi# pin goes active. smm is explained in section 4.7 "system management mode" on page 84 . if active power management is desired, then the amd geode? cs5530a companion device is programmed at boot time to activate smm through the smi# pin due to specific i/o inactivity. smm is also used in the passive power management method, however, it is limited to supporting specific api calls such as entering sleep modes. 6.1.2 suspend-on-halt suspend-on-halt is the most effective power reducing fea- ture of the gx1 processor wit h the system active. this fea- ture allows the system to re duce power wh en the system?s os becomes idle without producing any delay when the system?s os becomes active. when entered, suspend-on-halt stops the clock to the pro- cessor core while the intergrated functions (graphics, mem- ory controller, pci controller) are still active. there is absolutely no observational evidence that the processor has changed operational behavior except for two things. the gx1 draws significantly less core power and the suspa# pin is active while in this state. 6.1.3 cpu suspend cpu suspend is a hardware initiated power management state. the susp# pin is asserted by external hardware such as the geode cs5530a. the gx1 processor asserts the suspa# pin to indicate that the processor has entered cpu suspend. this state is similar to suspend-on-halt except for its entry and exit method. susp# active causes the processor to enter the state and susp# inactive causes its exit. the power savings is identical to suspend- on-halt. also, as in suspend-on-halt, the processor will temporally disable cpu suspend when there is pci master activity. cpu suspend can be used for suspend modulation. the geode cs5530a can be programmed to assert/deassert susp# at a programmable frequency and duty cycle. this has the effect of reducing th e average frequency that the processor is running and thus reduces power consumption and performance. certain processing activities (smi#, interrupts, and vga activity) can be monitored by the geode cs5530a to temporarily suspend suspend modula- tion for a programmable amount of time. suspend modula- tion programming is explained in detail in the amd geode? cs5530a companion device data book . 6.1.3.1 suspend modulation for thermal management the best use of suspend modulation is for thermal man- agement. the geode cs5530a monitors the temperature of the system and/or cpu and asserts the smi# pin, if the system or cpu gets too hot. the power management smm handler enables suspend modulation. when the tempera- ture drops to a certain point the geode cs5530a again asserts the smi# pin. the power management smm han- dler disables suspend modulation and normal operation resumes. a significant side effect of suspend modulation is a lowering of system performance while in this state. the system design must take this into account. if the system exceeds temperature limits only in extreme conditions then thermal management by use of suspend modulation can be easily and effectively us ed to reduce system cost by eliminating fans and possibly heatsinks. however, if maxi- mum performance is required in all conditions then sus- pend modulation should not be used. 6.1.3.2 suspend modulation for power management suspend modulation can also be used for a crude method of power management. the geode cs5530a monitors i/o activity and when that monito ring indicates inactivity, the geode cs5530a asserts the smi# pin. the power man- agement smm handler enables suspend modulation. when i/o activity picks up, the smi# pin is asserted again and the power management smm handler exits suspend modulation and normal operation resumes. 6.1.4 3 volt suspend 3 volt suspend is identical to cpu suspend with the addi- tion of setting clk_stp in the pm_cntrl_cstp register (table 6-2 on page 179), and turning off the graphics pipe- line (set gx_base+8304h[0] = 0) before the assertion of susp#. if clk_stp is set and the graphics pipeline is still active then the susp# will be ignored and 3 volt suspend will not be entered. as 3 volt suspend is being entered, the memory controller puts the sdra ms in self refresh mode. at this point, all internal clocks in the gx1 processor are stopped. once suspa# has gone active, the sysclk input pin can be stopped. while in this state the gx1 pro- cessor will not respond to anything except the deassertion of susp# as long as sysclk has been restarted. 6.1.5 gx1 processor serial bus the power management logic of the gx1 processor pro- vides the geode cs5530a with information regarding the gx1 processor productivity. if the gx1 processor is deter- mined to be relatively inactive, the gx1 processor power consumption can be greatly reduced by entering the sus- pend modulation mode. although the majority of the system power management logic is implemented in the geode cs5530a, a small amount of logic is required within the gx1 processor to provide information from the graphics controller that is not externally visible otherwise. the gx1 processor imple- ments a simple serial communications mechanism to trans- mit the cpu status to the geode cs5530a. the gx1 processor accumulates cpu events in a 8-bit register, ?pm serial packet? register (gx_ base+850ch), that is serially transmitted out of the gx1 processor every 1 to 10 s. the transmission frequency is set with bits [4:3] of the ?pm serial packet control? register. these register formats are given in table 6-2 on page 179.
amd geode? gx1 processor data book 175 power management revision 4.1 6.1.6 advanced power management (apm) support many battery powered devices rely solely on the apm (advanced power management) driver for dos, windows 95/98, and other o perating systems to manage power to the cpu. apm provides severa l services that enhance the system power management by determining when the cpu is idle. for the cpu, apm is theoretically the best approach but there are some drawbacks.  apm is an os-specific driver which is not available for all operating systems.  application support is inconsistent. some applications in foreground may prevent idle calls. the components for apm support are:  software cpu suspend control via the amd geode? cs5530a companion device?s cpu suspend command register.  software smi entry via the software smi register. this allows the apm bios to be part of the smm handler. 6.2 suspend modes and bus cycles the following subsections de scribe the bus cycles of the various suspend states. 6.2.1 timing diagram for suspend-on-halt the cpu enters suspend-on-halt as a result of executing a halt (hlt) instruction if the susp_halt bit in ccr2 (index c2h[3]) is set. when the hlt instruction is executed, the halt pci cycle is run on the pci bus and then the suspa# pin will go active to indicate that the processor has entered the suspend state. this state is slightly different from cpu suspend because of how suspend-on-halt is entered and how it is exited. suspend-on-ha lt is exited upon recognition of an unmasked intr or an smi#. normally suspa# is deactivated within six syscl ks from the detection of an active interrupt. however, the deactivation of suspa# may be delayed until th e end of an active refresh cycle. the cpu allows pci master accesses during a halt-initi- ated suspend mode. the suspa# pin will go inactive dur- ing the duration of the pci acti vity. if the cpu is in the middle of a pci mast er access when the halt instruction is executed, the assertion of suspa# will be delayed until the pci access is completed. see figure 6-1 for timing details. figure 6-1. halt-initiated suspend mode sysclk frame# c/be[3:0]# ad[15:0] irdy# intr, smi# suspa# o x i i x x pci halt cycle
176 amd geode? gx1 processor data book power management revision 4.1 6.2.2 initiating suspend with susp# the gx1 processor enters the suspend mode in response to susp# input assertion only when certain conditions are met. first, the use_susp bit must be set in ccr2 (index c2h[7]). in addition, executio n of the current instructions and any pending decoded instructions and associated bus cycles must be completed. su sp# is sampled on the rising edge of sysclk, and must m eet specified setup and hold times to be recognized at a particular sysclk edge. see figure 6-2 for timing details. when all conditions are met, the suspa# output is asserted. the time from assertion of susp# to the activa- tion of suspa# depends on which instructions were decoded prior to assertion of susp#. normally, once susp# has been sampled inactive the suspa# output will be deactivated within two clocks. however, the deactivation of suspa# may be delayed until the end of an active refresh cycle. if the cpu is already in a suspend mode initiated by susp#, one occurrence of intr and smi# is stored for execution after suspend mode is exited. the cpu also allows pci master accesses during a susp#-initiated sus- pend mode. see figure 6-3 for timing details. if an unmasked reqx# is asserted, the gx1 processor will deassert suspa# and exit suspend mode to respond to the pci master access. if susp# is asserted when the pci master access is completed, reqx# deasserted, the gx1 processor will reassert suspa# and return to a susp#-ini- tiated suspend mode. if the cp u is in the middle of a pci master access when susp# is asserted, the assertion of suspa# will be delayed until the pci access is completed. figure 6-2. susp#-initiated suspend mode figure 6-3. pci access during suspend mode sysclk susp# suspa# sysclk reqx# trdy# susp# suspa# frame#
amd geode? gx1 processor data book 177 power management revision 4.1 6.2.3 stopping the input clock the gx1 processor is a static device, allowing the input clock (sysclk) to be stopped and restarted without any loss of internal cpu data . the sysclk input can be stopped at either a logic high or logic low state. the required sequence fo r stopping sysclk is to initiate 3 volt suspend, wait for the assertio n of suspa# by the proces- sor, and then stop the input clock. the cpu remains suspended until sysclk is restarted and the suspend mode is exited as described earlier. while sysclk is stopped, t he processor can no longer sample and respond to any input stimulus including reqx#, nmi, smi#, intr, and reset inputs. figure 6-4 illustrates the recommended sequence for stop- ping the sysclk using susp# to initiate 3 volt suspend. sysclk may be started prior to or following negation of the susp# input. the figure includes the susp_3v pin from the amd geode? cs5530a which is used to stop the external clocks. 6.2.4 serial packet transmission the gx1 processor transmits the contents of the ?pm serial packet? register on the serialp output pin to the pserial input pin of the geode cs5530a. the gx1 pro- cessor holds serialp low until the transmission interval counter (gx_base+850 4h[4:3]) has elapsed. once the counter has elapsed, pserial is held high for two sysclks to indicate the start of packet transmission. the contents of the packet register are then shifted out starting from bit 7 down to bi t 0. pserial is held high for one sysclk to indicate the end of packet transmission and then remains low until the next transmission interval. after the packet transmission has completed, the packet contents are cleared. figure 6-4. stopping sysclk during suspend mode sysclk susp# susp_3v smi event, timer or pin suspa# (amd geode? cs5530a)
178 amd geode? gx1 processor data book power management revision 4.1 6.3 power management registers the gx1 processor contains the power management regis- ters for the serial packet transmission control, the user- defined power management address space, suspend refresh, and smi status for suspend/resume. the power management registers are mapped to a00h locations start- ing at gx_base+8500h. however, only 16 bytes are defined and some of these registers will alias across the a00h space. the power management registers are described in the following sections. refer to section 5.1.2 "control registers" on page 100 for instructions on access- ing these registers. note, however, the pm_base and pm_mask registers are accessed with the cpu_read and cpu_write instruc- tions. refer to section 5.1.6 "cpu_read/cpu_write instructions" on page 103 for more information regarding these instructions. table 6-1 summarizes the above mentioned registers. tables 6-2 and 6-3 give these register?s bit formats. table 6-1. power management register summary gx_base+ memory offset type name/function default value control and status registers 8500h-8503h r/w pm_stat_smi pm smi status register: contai ns system management mode (smm) status information used by softvga. xxxxxx00h 8504h-8507h r/w pm_cntrl_ten pm serial packet control register: sets the serial packet transmission frequency and enables specific cpu events to be recorded in the serial packet. xxxxxx00h 8508h-850bh r/w pm_cntrl_cstp pm clock stop control register: enables the 3v suspend mode for the gx1 processor. xxxxxx00h 850ch-850fh r/w pm_ser_pack pm serial packet register: transmits the contents of the serial packet. xxxxxx00h programmable address region registers ffffff6ch r/w pm_base pm base register: contains the base address for the programmable memory range decode. this register, in combination with the pm_mask register, is used to generate a memory range decode which sets bit 1 in the serial transmission packet. 00000000h ffffff7ch r/w pm_mask pm mask register: the address mask for the pm_base register. 00000000h
amd geode? gx1 processor data book 179 power management revision 4.1 table 6-2. power management control and status registers bit name description gx_base+8500h-8503h pm_stat_smi register (r/w) default value = xxxxxx00h 31:8 rsvd reserved: these bits are not used. do not write to these bits. 7:3 rsvd reserved: set to 0. 2smi_mem smi vga emulation memory: this bit is set high if a smi was generated for vga emulation in response to a vga memory access. an smi can be generated on a memory access to one of three regions in the a0000h to bffffh range as specified in the bc_xmap_1 register. (see table 5-9 on page 105) 1smi_io smi vga emulation i/o: this bit is set high if a smi was generated for vga emulation in response to an i/ o access. an smi can be generated on a i/o access to one of three regions in the 3b0h to 3dfh range as specified in the bc_xmap_1 register. (see table 5-9 on page 105) 0smi_pin smi pin: when set high, this bit indicates that t he smi# input pin has been asserted to the gx1 processor. note: these bits are ?sticky? bits and can only be cleared with a write of ?1? to the respective bit. gx_base+8504h-8507h pm_cntrl_ten register (r/w) default value = xxxxxx00h 31:8 rsvd reserved: these bits are not used. do not write to these bits. 7:6 rsvd reserved: set to 0. 5 x_test (wo) transmission test (write only) : setting this bit causes the gx1 pr ocessor to immediately transmit the current contents of the serial packet. this bit is write only and is used primarily for test. this bit returns 0 on a read. 4:3 x_freq transmission frequency: this field indicates the time between se rial packet transmissions. serial packet transmissions occur at the selected in terval only if at least one of the pa cket bits is set high: 00 = disable transmitter; 01 = 1 ms; 10 = 5 ms; 11 = 10 ms. 2cpu_rd cpu activity read enable: setting this bit high enables reporting of cpu level-1 cache read misses that are not a result of an instruction fetch. this bit is a don?t-care if the cpu_en bit is not set high. 1cpu_en cpu activity master enable: setting this bit high enables reporting of cpu level-1 cache misses in bit 6 of the serial transmission packet. when enabled, the cp u level-1 cache miss activity is reported on any read (assuming the cpu_rd is set high) or write access excluding misses that resulted from an instruction fetch. 0vid_en video event enable: setting this bit high enables video decode events to be reported in bit 0 of the serial transmission packet. cpu or graphics-pipeline accesses to the graphics memory and display-controller- register accesses are also reported. gx_base+8508h-850bh pm_cntrl_cstp register (r/w) default value = xxxxxx00h 31:8 rsvd reserved: these bits are not used. do not write to these bits. 7:1 rsvd reserved: set to 0. 0 clk_stp clock stop: this bit configures the gx1 processor fo r suspend refresh mode or 3 volt suspend mode: 0 = suspend refresh mode. the clocks to the memory and display controller remain active during sus- pend. 1 = 3 volt suspend mode. the external clock may be stopped during suspend. note: when bit 0 is set high and the suspend input pin (susp#) is a sserted, the gx1 processor stops all it?s internal clocks, and asserts the suspend acknowledge output pin (suspa#). once suspa# is asserted the gx1 processor?s sysclk input can be stopped. if bit 0 is cleared, the internal memory-controll er and display-controller cloc ks are not stopped on the susp#/ suspa# sequence, and the sysclk input can not be stopped. gx_base+850ch-850fh pm_ser_pack register (r/o) default value = xxxxxx00h 31:8 rsvd reserved: these bits are not used. do not write to these bits. 7vid_irq video irq: this bit indicates the occurrence of a video vertical sync pulse. this bit is set at the same time that the vint (vertical interrupt) bit is set in t he dc_timing_cfg register. the vint bit has a correspond- ing enable bit (vien) in the dc_tim _cfg register (table 5-29 on page 143). 6cpu_act cpu activity: this bit indicates the occurrence of a level 1 ca che miss that was not a result of an instruc- tion fetch. this bit has a corresponding e nable bit in the pm_cntl_ten register. 5:2 rsvd reserved: set to 0. 1usr_def programmable address decode: this bit indicates the occurrence of a programmable memory address decode. this bit is set based on the values of the pm_base register and the pm_mask register (see table 6-3). the pm_base register can be initializ ed to any address in the full 256 mb address range.
180 amd geode? gx1 processor data book power management revision 4.1 0vid_dec video decode: this bit indicates that the cpu has accessed ei ther the display controller registers or the graphics memory region. this bit has a corresponding enable bit in the pm_cntrl_ten. note: the gx1 processor transmits the contents of the serial packet onl y when a bit in the packet register is set and the interval counter has elapsed. the amd geode? cs 5530a companion device decodes the serial packet after each transmission. once a bit in the packet is set, it will remain set until the completi on of the next packet transmissi on. successive events of the s ame type that occur between packet transmissions are ignored. mult iple unique events between packe t transmissions will accumu- late in this register. table 6-2. power management control and status registers (continued) bit name description table 6-3. power management programmable address region registers bit name description index ffff ff6ch pm_base register (r/w) default value = 0000000h 31:28 rsvd reserved: set to 0. 27:2 base_addr base address: this is the word-aligned base address for the programmable memory range compare. the actual address range is determined with th is field and the pm_mask register value. 1:0 rsvd reserved: set to 0. index ffff ff7ch pm_mask register (r/w) default value = 0000000h 31:28 rsvd reserved: set to 0. 27:2 adr_mask address mask: this field is the address mask for the base_ addr field in the pm_base register. if a bit in the adr_mask field is cleared the corresponding bi t in the base_addr field must match the proces- sor address. if a bit in the mask field is set high, the corresponding bit in the base_addr field always compares. if the processor cycle type matches the va lues of the we and re bits, and all bits in the base_addr field match the processor address based on the adr_mask field, bit 1 will be set high in the serial transmission packet. 1we write enable: compare memory write cycles with base_addr and adr_mask: 0 = disable; 1 = enable. 0re read enable: compare memory read cycles with base_addr and adr_mask: 0 = disable; 1 = enable
amd geode? gx1 processor data book 181 electrical specifications revision 4.1 7.0 electrical specifications 7.1 part numbers/perf ormance characteristics the gx1 series of processors is designated by three core voltage specifications: 2.2v, 2. 0v, and 1.8v. each core volt- age is offered in frequencies that are enabled by specific system clock and internal multiplier settings. this allows the user to select the device(s) that best fit their power and performance requirements. this flexibility makes the gx1 processor series ideally suited for applications where power consumption and performance (speed) are equally important. the part numbers in table 7-1 designate the various com- binations of speed and power consumption available. note that while there are three v cc2 (core) voltages available, the v cc3 (i/o) voltage remains constant at 3.3v (nominal) in order to maintain lvttl compatibility with external devices. table 7-1. gx1 processor performance characteristics part marking core voltag e (v cc2 ) system clock frequency multiplier core frequency abs max power typ power 1 80% active idle gx1-333p-85-2.2 2.2v (nominal) 33 mhz x10 333 mhz 5.0w 1.4w gx1-333b-85-2.2 gx1-300p-85-2.0 2.0v (nominal) 33 mhz x9 300 mhz 3.7w 1.2w gx1-300b-85-2.0 gx1-266p-85-1.8 1.8v (nominal) 33 mhz x8 266 mhz 3.0w 1.0w gx1-266b-85-1.8 gx1-233p-85-1.8 x7 233 mhz 2.8w 0.95w gx1-233b-85-1.8 gx1-200p-85-1.8 x6 200 mhz 2.6w 0.8w gx1-200b-85-1.8 1. typical power consumption is defined as an avera ge measured running windows at 80% active idle (suspend-on-halt) with a display reso lution of 800x600x8 bpp at 75 hz.
182 amd geode? gx1 processor data book electrical specifications revision 4.1 7.2 electrical connections 7.2.1 power/ground connections testing and operating the gx1 processor requires the use of standard high frequency techniques to reduce parasitic effects. these effects can be minimized by filtering the dc power leads with low-inductance decoupling capacitors, using low-impedance wiring, and by connecting all v cc2 and v cc3 pins to the appropriate voltage levels. 7.2.1.1 power planes figure 7-1 shows layout recommendations for splitting the power plane between v cc2 (core: 1.8v, 2.0v, or 2.2v) and v cc3 (i/o: 3.3v) volts in the bga package. the illustration assumes there is one power plane, and no components on the back of the board. figure 7-2 shows layout recommendations for splitting the power plane between v cc2 (core: 1.8v, 2.0v, or 2.2v) and v cc3 (i/o: 3.3v) volts in the spga package. figure 7-1. bga recommended split power plane and decoupling 1 26 a af af a 26 1 352 bga - top view 3.3v plane (v cc3 ) 3.3v plane (v cc3 ) 3.3v plane (v cc3 ) legend amd geode? gx1 processor 3.3v plane (v cc3 ) note: where signals cross plane splits, it is recommended to include ac decoupling between planes with 47 pf capacitors. = high frequency capacitor = 220 f, low esr capacitor = 3.3v connection = 1.8v, 2.0v, or 2.2v connection 1.8v, 2.0v, or 2.2v plane (v cc2 ) 1.8v, 2.0v, or 2.2v plane (v cc2 )
amd geode? gx1 processor data book 183 electrical specifications revision 4.1 figure 7-2. spga recommended split power plane and decoupling 1 37 a an a an 1 37 3.3v plane (v cc3 ) 3.3v plane (v cc3 ) 3.3v plane (v cc3 ) 3.3v plane (v cc3 ) to 2.9v regulator note: where signals cross plane splits, it is recommended to include ac decoupling between planes with 47 pf capacitors. 320 spga - top view amd geode? gx1 processor 1.8v, 2.0v, or 2.2v plane (v cc2 ) 1.8v, 2.0v, or 2.2v plane (v cc2 ) legend = high frequency capacitor = 220 f, low esr capacitor = 3.3v connection = 1.8v, 2.0v, or 2.2v connection
184 amd geode? gx1 processor data book electrical specifications revision 4.1 7.2.2 nc-designated pins pins designated nc (no connection) should be left discon- nected. connecting an nc pin to a pull-up/-down resistor, or an active signal could cause unexpected results and possible circuit malfunctions. 7.2.3 pull-up and pull-down resistors table 7-2 lists the input pins t hat are internally connected to a weak (>20-kohm) pull-up/-down resistor. when unused, these inputs do not require connection to an external pull- up/-down resistor. 7.2.4 unused input pins all inputs not used by the system designer and not listed in table 7-2 should be kept at either ground or v cc3 . to pre- vent possible spurious operation, connect active-high inputs to ground through a 20-kohm (10%) pull-down resis- tor and active-low inputs to v cc3 through a 20-kohm (10%) pull-up resistor. 7.3 absolute maximum ratings table 7-3 lists absolute maximum ratings for the gx1 proces- sor. stresses beyond the listed ratings may cause permanent damage to the device. exposure to conditions beyond these lim- its may (1) reduce device reliability and (2) result in prema- ture failure even when there is no immediately apparent sign of failure. prolonged exposure to conditions at or near the absolute maximum ratings may also result in reduced useful life and reliability. these are stress ratings only and do not imply that operation under any conditions other than those listed under operating conditions in table 7-4 on page 185 is possible. table 7-2. pins with > 20-kohm internal resistor signal name bga pin no. spga pin no pu/pd susp# h2 m4 pull-up frame# a8 c13 pull-up irdy# c9 d14 pull-up trdy# b9 b14 pull-up stop# c11 a15 pull-up lock# b11 b16 pull-up devsel# a9 e15 pull-up perr# a11 d16 pull-up serr# c12 a17 pull-up req[2:0]# d3, h3, e3 e3, k2, e1 pull-up tclk j2 p4 pull-up tms h1 n3 pull-up tdi d2 f4 pull-up test f3 j5 pull-down table 7-3. absolute maximum ratings symbol parameter min max unit comments t case operating case temperature ?65 110 c power applied t storage storage temperature ?65 150 c no bias v cc2 core supply voltage 2.31 v v cc3 i/o supply voltage 3.6 v v max voltage on any pin ?0.5 3.6 v i ik input clamp current ?0.5 10 ma power applied i ok output clamp current 25 ma power applied
amd geode? gx1 processor data book 185 electrical specifications revision 4.1 7.4 operating conditions table 7-4 lists the operating conditions for the gx1 processor. table 7-4. operating conditions symbol parameter min max unit comments t c operating case temperature 0 85 c v cc2 core supply voltage 1, 2 1. this parameter is calculated as nominal 5%. 2. f clk ratings refer to in ternal clock frequency. 1.8v (nominal); f clk = 200, 233, or 266 mhz 1.71 1.89 v 2.0v (nominal); f clk = 300 mhz 1.90 2.10 v 2.2v (nominal); f clk = 333 mhz 2.09 2.31 v v cc3 i/o supply voltage (3.3v nominal) 1 3.14 3.46 v v ih input high voltage 3 3. pin is not tolerant to the pci 5 volt signaling environment dc specification. all except pci bus and sysclk 2.0 v cc3 +0.5 v pci bus 0.5*v cc3 v cc3 +0.5 v sysclk 2.7 v cc3 +0.5 v v il input low voltage all except pci bus and sysclk ?0.5 0.8 v pci bus ?0.5 0.3v cc3 v sysclk ?0.5 0.4 v i oh output high current ?2 ma v o = v oh (min) i ol output low current 5 ma v o = v ol (max)
186 amd geode? gx1 processor data book electrical specifications revision 4.1 7.5 dc characteristics all dc parameters and current measurements in this sec- tion were measured under the operating conditions listed in table 7-4 "operating conditions" on page 185. 7.5.1 input/output dc characteristics table 7-5 shows the input/output dc parameters for all devices in the gx1 processor series. 7.5.2 dc current dc current is not a simple measurement. the cpu has four power states and two functional characteristics that determine how much current the processor uses at any given point in time. 7.5.2.1 definition of cpu power states the following dc characteristic tables list cpu core and i/o current for four distinct cpu power states: on : all internal and external clocks with respect to the processor are running and all functional blocks inside the processor (cpu core, memory controller, display controller, etc.) are actively generating cycles. this is equivalent to the acpi s pecification?s s0 state.  active idle : the cpu core has been halted, all other functional blocks (including the display controller for refreshing the display) are actively generating cycles. this state is entered when a hlt instruction is executed by the cpu core or the susp# pin is asserted. from a user?s perspective, this stat e is indistinquishable from the on state and is equivalent to the acpi specification?s s1 state. standby : the cpu core has been halted and all internal clocks have been shut down. externally, the sysclk input continues to be driven. this is equivalent to the acpi specification?s s2 or s3 state.  sleep : very similar to standb y except that the sysclk input has been shut down as well. this is the lowest power state the processor can be in with voltage still applied to the device?s core and i/o supply pins. this is equivalent to the acpi s pecification?s s4 state. table 7-5. dc characteristics symbol parameter min typ max unit comments v ol output low voltage 0.4 v i ol = 5 ma v oh output high voltage 2.4 v i oh = ?2 ma i i input leakage current for input pins except those with an internal pull-up/-down (pu/pd 1 ). 10 a0 < v in < v cc3 i ih input leakage current for input pins with an internal pd 1 . 200 av ih = 2.4v i il input leakage current for input pins with an internal pu 1 . ?400 av il = 0.35v c in input capacitance 16 pf f = 1 mhz 2 c out output or i/o capacitance 16 pf f = 1 mhz 2 c clk clk capacitance 12 pf f = 1 mhz 2 1. see table 7-2 "pins with > 20-kohm internal resistor" on page 184 for a listing of all inputs that are internally connected to a weak pull-up/-down resistor 2. not 100% tested.
amd geode? gx1 processor data book 187 electrical specifications revision 4.1 7.5.2.2 definition and me asurement techniques of cpu current parameters the following two parameters indicate processor current while in the on state:  typical average : indicates the average current used by the processor while in the on state. this is measured by running typical windows applications in a typical display mode. in this case, 800x600x8 bpp at 75 hz, 50 mhz dclk using a background image of vertical stripes (4- pixel wide) alternating between black and white with power management disabled (to guarantee that the processor never goes into the active idle state). this number is provided for reference only since it can vary greatly depending on the us age model of the system. note: this typical average should not be confused with the typical power numbers shown in table 7-1 on page 181. the numbers in table 7-1 are based on a combination of on (typical average) and active idle states.  absolute maximum : indicates the maximum instanta- neous current used by the processor. cpu core current is measured by running the landmark speed 200 benchmark test (with power management disabled) and measuring the peak current at any given instant during the test. i/o current is measured by running microsoft ? windows ? 98 and using a background image of vertical stripes (1-pixel wide) alternating between black and white at the maximum di splay resolution of 1280x1024x8 bpp at 85 hz, 157 mhz dclk. 7.5.2.3 definition of system conditions for measur- ing on parameters processor current is highly dependent on two functional characteristics, dclk (dot clock) and sdram frequency. table 7-6 shows how these factors are controlled when measuring the typical average and absolute maximum pro- cessor current parameters. table 7-6. system conditions used to determine cpu current used during the on state cpu current measurement system conditions v cc2 1 v cc3 1 dclk freq 2 sdram freq 3 typical average nominal nominal 50 mhz 4 nominal 5 absolute maximum max max 157 mhz 6 max 7 1. see table 7-4 on page 185 for nominal and maximum voltages. 2. not all system designs support display modes that require a dclk of 157 mhz. therefore, absolute maximum current will not be realized in all system designs. refer to the de-r ating curve in figure 7-3 on page 191 to calculate absolute maximum current based on the system?s parameters. 3. sdram speeds between 79 and 111 mhz are only supported fo r particular types of closed system designs. therefore, absolute maximum current will not be real ized in most system designs. refer to the de-rating curve in figure 7-3 on page 191 to calculate absolute maximum current based on the system?s parameters. 4. a dclk frequency of 50 mhz is derived by setting the disp lay mode to 800x600x8 bpp at 75 hz, using a display image of vertical stripes (4-pixel wide) alternating be tween black and white with power management disabled. 5. sdram nominal frequency represents a single value that the memory controller can be configured for, between 66 mhz and 85 mhz, based on a given core clock frequency: 200 mhz (6x)/3.0 = 66.67 mhz 233 mhz (7x)/3.0 = 77.78 mhz 266 mhz (8x)/3.5 = 76.19 mhz 300 mhz (9x)/4.0 = 75.0 mhz 333 mhz (10x)/4.0 = 83.3 mhz 6. a dclk frequency of 157 mhz is derived by setting the di splay mode to 1280x1024x8 bpp at 85 hz, using a display image of vertical stripes (1-pixel wide) alternating between black and white with power management disabled. 7. sdram max frequency represents the highes t frequency that the memory controller can be configured, up to 111 mhz, based on a given core clock frequency: 200 mhz (6x)/2.0 = 100.0 mhz 233 mhz (7x)/2.5 = 93.3 mhz 266 mhz (8x)/3.0 = 88.9 mhz 300 mhz (9x)/3.0 = 100.0 mhz 333 mhz (10x)/3.0 = 111.0 mhz note: not all configurations listed here ar e supported. refer to the document titled ?geode? gx1 processor series: memory timings for maxi mum performance? for supported configurations.
188 amd geode? gx1 processor data book electrical specifications revision 4.1 7.5.2.4 dc current measurements the following tables show the dc current measurements for the 1.8v (tables 7-7 and 7-8), 2.0v (tables 7-9 and 7-10) and 2.2v (tables 7-11 and 7-12) devices of the gx1 processor series. table 7-7. 1.8v dc characteristics for cpu state = on symbol parameter 1 1. f clk ratings refer to in ternal clock frequency. typ avg abs max unit comments i cc3on i/o current @ v cc3 = 3.3v (nominal); cpu state = on f clk = 200 mhz 140 340 ma i cc for v cc3 f clk = 233 mhz 160 350 f clk = 266 mhz 160 350 i cc2on core current @ v cc2 = 1.8v (nominal); cpu state = on f clk = 200 mhz 600 850 ma i cc for v cc2 f clk = 233 mhz 680 860 f clk = 266 mhz 760 960 table 7-8. 1.8v dc characteristics for cpu state = active idle, standby, and sleep symbol parameter 1 min typ max unit comments i cc3idle i/o current @ v cc3 = 3.3v (nominal); cpu state = active idle f clk = 200 mhz 130 ma i cc for v cc3 f clk = 233 mhz 140 f clk = 266 mhz 140 i cc3stby i/o current @ v cc3 = 3.3v (nominal); cpu state = standby 45 ma i cc for v cc3 2 i cc3slp i/o current @ v cc3 = 3.3v (nominal); cpu state = sleep 40 ma i cc for v cc3 3 i cc2idle core current @ v cc2 =1.8v (nominal); cpu state = active idle f clk = 200 mhz 120 ma i cc for v cc2 f clk = 233 mhz 170 f clk = 266 mhz 185 i cc2stby core current @ v cc2 = 1.8v (nominal); cpu state = standby 27 62 ma i cc for v cc2 2 i cc2slp core current @ v cc2 = 1.8v (nominal); cpu state = sleep 20 50 ma i cc for v cc2 3 1. f clk ratings refer to in ternal clock frequency. 2. all inputs are at 0.2v or v cc3 ? 0.2 (cmos levels). all inputs except clo ck are held static, and all outputs are unloaded (static i out = 0 ma). 3. all inputs are at 0.2v or v cc3 ? 0.2 (cmos levels). all inputs are held static, and all outputs are unloaded (static i out = 0 ma).
amd geode? gx1 processor data book 189 electrical specifications revision 4.1 table 7-9. 2.0v dc characteristics for cpu state = on symbol parameter 1 typ avg abs max unit comments i cc3on i/o current @ v cc3 = 3.3v (nominal); cpu state = on; f clk = 300 mhz 145 340 ma i cc for v cc3 i cc2on core current @ v cc2 = 2.0v (nominal); cpu state = on; f clk = 300 mhz 970 1240 ma i cc for v cc2 1. f clk ratings refer to in ternal clock frequency. table 7-10. 2.0v dc characteristics for cpu state = active idle, standby, and sleep symbol parameter 1 min typ max unit comments i cc3idle i/o current @ v cc3 = 3.3v (nominal); cpu state = active idle; f clk = 300 mhz 125 ma i cc for v cc3 i cc3stby i/o current @ v cc3 = 3.3v (nominal); cpu state = standby 45 ma i cc for v cc3 2 i cc3slp i/o current @ v cc3 = 3.3v (nominal); cpu state = sleep 40 ma i cc for v cc3 3 i cc2idle core current @ v cc2 = 2.0v (nominal); cpu state = active idle; f clk = 300 mhz 250 ma i cc for v cc2 i cc2stby core current @ v cc2 = 2.0v (nominal); cpu state = standby 28 65 ma i cc for v cc2 2 i cc2slp core current @ v cc2 = 2.0v (nominal); cpu state = sleep 20 50 ma i cc for v cc2 3 1. f clk ratings refer to in ternal clock frequency. 2. all inputs are at 0.2v or v cc3 ? 0.2 (cmos levels). all inputs except clo ck are held static, and all outputs are unloaded (static i out = 0 ma) 3. all inputs are at 0.2v or v cc3 ? 0.2 (cmos levels). all inputs are held static, and all outputs are unloaded (static i out = 0 ma).
190 amd geode? gx1 processor data book electrical specifications revision 4.1 table 7-11. 2.2v dc characteristics for cpu state = on symbol parameter 1 typ avg abs max unit comments i cc3on i/o current @ v cc3 = 3.3v (nominal); cpu state = on; f clk = 333 mhz 125 240 ma i cc for v cc3 i cc2on core current @ v cc2 = 2.1v (nominal); cpu state = on; f clk = 333 mhz 1250 1775 ma i cc for v cc2 1. f clk ratings refer to in ternal clock frequency. table 7-12. 2.2v dc characteristics for cpu state = active idle, standby, and sleep symbol parameter 1 min typ max unit comments i cc3idle i/o current @ v cc3 = 3.3v (nominal); cpu state = active idle; f clk = 333 mhz 115 ma i cc for v cc3 i cc3stby i/o current @ v cc3 = 3.3v (nominal); cpu state = standby 45 ma i cc for v cc3 2 i cc3slp i/o current @ v cc3 = 3.3v (nominal); cpu state = sleep 40 ma i cc for v cc3 3 i cc2idle core current @ v cc2 = 2.1v (nominal); cpu state = active idle; f clk = 333 mhz 280 ma i cc for v cc2 i cc2stby core current @ v cc2 = 2.1v (nominal); cpu state = standby 50 120 ma i cc for v cc2 2 i cc2slp core current @ v cc2 = 2.1v (nominal); cpu state = sleep 40 100 ma i cc for v cc2 3 1. f clk ratings refer to in ternal clock frequency. 2. all inputs are at 0.2v or v cc3 ? 0.2 (cmos levels). all inputs except clo ck are held static, and all outputs are unloaded (static i out = 0 ma) 3. all inputs are at 0.2v or v cc3 ? 0.2 (cmos levels). all inputs are held static, and all outputs are unloaded (static i out = 0 ma).
amd geode? gx1 processor data book 191 electrical specifications revision 4.1 7.6 i/o current de-rating curve as mentioned in section 7.5.2. 3 "definition of system con- ditions for measuring on parameters" on page 187, the i/o current of the processor is affected by two system parame- ters, dclk and sdram frequency. a de-rating curve (see figure 7-3) is provided so that the system designer can determine the absolute maximu m i/o current used by the processor for a particular design. core current is not signif- icantly affected by these two parameters, so a core current de-rating curve is not provided. 7.6.1 display resolution the change in current of five common display resolutions is used to extrapolate the de-rating curve. dclk is derived from the display resolution, color depth, and refresh rate. the relationship between dclk and i/o current is linear. the system designer must determine the maximum dclk frequency required in the system based on the maximum display that will be supported. 7.6.2 memory speed each device in the gx1 processor series is defined by a particular core voltage and core frequency. the sdram frequency is derived internally by a programmable divisor of the core frequency. typically, there are three sdram frequencies between 66.7 and 111 mhz that can be derived from a single core frequency. these three frequen- cies are provided in the following de-rating curve so that their effect on current can be seen. just as with the display resolution, current de-rating due to memory speed is linear. sdram frequencies between 79 and 111 mhz are only supported for certain types of closed systems and strict design rules must be adhered to. for further details, please contact your local amd technical support representative. 7.6.3 i/o current de-rating curve the i/o current de-rating curve, shown in figure 7-3, is the same for all devices in the gx1 series of processors. while the memory speeds for the various core frequencies are different, the three memory speeds for each device pro- duce the same de-rating effect. figure 7-3. absolute max i/o current de-rating curve (all speeds and core voltages) -120 0 i cc3 (ma) de-rate amount -160 -80 -120 mhz mem 1 mhz mem 2 mhz mem 3 mhz 200 2.0 100.0 2.5 80.0 3.0 66.7 233 2.5 93.3 3.0 77.8 3.5 66.7 266 3.0 88.9 3.5 76.2 4.0 66.7 300 3.0 100.0 3.5 85.7 4.0 75.0 333 3.0 111.0 3.5 95.1 4.0 83.3 note: pixel color depth does not affect power consumption or dclk frequency. -140 -100 -100 -60 d i s p l a y r e s o l u t i o n 1280x1024 at 75 hz, (dclk = 135 mhz) 1024x768 at 75 hz, (dclk = 79 mhz) 800x600 at 72 hz, (dclk = 50 mhz) 640x480 at 72 hz, (dclk = 32 mhz) 1280x1024 at 60 hz, (dclk = 108 mhz) -20 -40 1280x1024 at 85 hz, (dclk = 157 mhz) absolute maximum mem 2 mem 3 mem 1 mem 2 mem 3 mem 1 mem 2 mem 3 mem 1 mem 2 mem 3 mem 1 mem 2 mem 3 mem 1 mem 2 mem 3 mem 1
192 amd geode? gx1 processor data book electrical specifications revision 4.1 7.7 ac characteristics the following tables list the ac characteristics including output delays, input setup requirements, input hold require- ments, and output float delays. the rising-clock-edge refer- ence level v ref , and other reference levels are shown in table 7-13. input or output signals must cross these levels dur- ing testing. input setup and hold times are specified minimums that define the smallest acceptable sampling window for which a synchronous input signal must be stable for correct opera- tion. note: all ac tests are performed at these parameters unless otherwise specified: v cc2 = 1.71v to 1.89v (1.8v nominal) v cc2 = 1.90v to 2.10v (2.0v nominal) v cc2 = 2.09v to 2.31v (2.2v nominal) v cc3 = 3.14v to 3.46v (3.3v nominal) t c = 0 o c to 85 o c r l = 50 ohms c l = 50 pf while most minimum, maximum, and typical ac character- istics are only shown as a single value, they are tested and guaranteed across the entire processor core voltage range of 1.8v to 2.2v (nominal). ac characteristics that are affected significantly by the core voltage or speed grade are documented accordingly. figure 7-4. drive level and measurement points for switching characteristics table 7-13. drive level and measurement points for switching characteristics symbol voltage (v) v ref 1.5 v ihd 2.4 v ild 0.4 clk outputs inputs v ihd v ild v ref valid input valid output n+1 valid output n v ref v ref v ild v ihd min max legend: a = maximum output delay specification b = minimum output delay specification c = minimum input setup specification d = minimum input hold specification t x b a cd
amd geode? gx1 processor data book 193 electrical specifications revision 4.1 figure 7-5. v cc2 and v cc3 por timing table 7-14. system signals parameter min max unit setup time for reset, intr 1 5ns hold time for reset, intr 1 2ns setup time for smi#, susp#, flt# 5 ns hold time for smi#, susp#, flt# 2 ns valid delay for irq13 2 15 ns valid delay for suspa# 3 15 ns valid delay for serialp 2 15 ns 1. the system signals may be asynch ronous. the setup/hold times are requ ired for determining static behavior. table 7-15. v cc2 and v cc3 power sequencing symbol parameter min max unit t on power on 1 0100ms t off power off 0 100 ms 1. v cc3 must be floating (not grounded) when power is applied to v cc2 . v cc2 v cc3 ton toff
194 amd geode? gx1 processor data book electrical specifications revision 4.1 table 7-16. clock signals symbol parameter sysclk = 33 mhz 1 unit min typ max t 1 sysclk period 29.75 30.0 30.25 ns t 2 sysclk period stability 250 ps t 3 sysclk high time 10.5 ns t 4 sysclk low time 10.5 ns t 5 sysclk fall time 2 0.5 1.5 ns t 6 sysclk rise time 2 0.5 1.5 ns t 9 sdclk_out, sdclk[3:0] period 200 mhz/3.0; 233 mhz/3.5; 266 mhz/4.0; 300 mhz/4.5 12.8 18.0 ns 233 mhz/3.0; 266 mhz/3. 5; 300 mhz/4.0 11.1 16.3 233 mhz/2.5 9.1 13.7 266 mhz/3.0; 300 mhz/3.5 9.7 14.3 300 mhz/3.0 8.5 12.3 333 mhz/3.0 7.6 12.0 333 mhz/4.0 10.2 15.0 t 10 sdclk_out, sdclk[3:0] high time 200 mhz/3.0; 233 mhz/3.5; 266 mhz/4.0; 300 mhz/4.5 5.6 ns 233 mhz/3; 266 mhz/3.5; 300 mhz/4.0 4.8 233 mhz/2.5 3.8 266 mhz/3.0; 300 mhz/3.5 4.1 300 mhz/3.0 3.5 333 mhz/3.0 3.1 333 mhz/4.0 4.5 t 11 sdclk_out, sdclk[3:0] low time 200 mhz/3.0; 233 mhz/3.5; 266 mhz/4.0; 300 mhz/4.5 5.6 ns 233 mhz/3; 266 mhz/3.5; 300 mhz/4.0 4.8 233 mhz/2.5 3.8 266 mhz/3.0; 300 mhz/3.5 4.1 300 mhz/3.0 3.5 333 mhz/3.0 3.1 333 mhz/4.0 4.5 t 12 sdclk_out, sdclk[3:0] fall time 2 0.5 ns t 13 sdclk_out, sdclk[3:0] rise time 2 0.45 ns 1. a sysclk of 33 mhz corresponds to core fre quencies of 200, 233, 266, 300, and 333 mhz. 2. sdclk_out and sysclk rise and fall times are measured between v ih min and v il max with a 50 pf load.
amd geode? gx1 processor data book 195 electrical specifications revision 4.1 figure 7-6. sysclk timing and measurement points figure 7-7. sdclk[3:0] timing and measurement points sysclk 1.5v v ih(min) v il(max) t 3 t 1 t 6 t 5 t 4 sdclk_out, 1.5v v ih (min) v il (max) t 10 t 9 t 13 t 12 t 11 sdclk[3:0]
196 amd geode? gx1 processor data book electrical specifications revision 4.1 figure 7-8. output timing figure 7-9. input timing table 7-17. pci interface signals symbol parameter min max unit t val1 delay time, sysclk to signal valid for bused signals 2 11 ns t val2 delay time, sysclk to signal valid for gnt# 1, 2 29ns t on delay time, float to active 2 ns t off delay time, active to float 28 ns t su1 input setup time for bused signals 7 ns t su2 input setup time for req# 1, 2 6ns t h input hold time to sysclk 0 ns 1. gnt# and req# are point-to-point signals. all other pci interface signals are bused. refer to chapter 4 of pci local bus specification, revision 2.1, for more detailed information. 2. maximum timings are improved over the pci local bus specif ication, revision 2.1. this allows a pal or some other circuit to use a req/gnt pair to expand the nu mber of req/gnt pairs available to the system. sysclk tri-state output output t val1,2 t off t on sysclk input t h t su1,2
amd geode? gx1 processor data book 197 electrical specifications revision 4.1 figure 7-10. output valid timing figure 7-11. setup and hold timings - read data in table 7-18. sdram interface signals symbol parameter min max unit t 1 rasa#, rasb#, casa#, casb#, wea#, web#, ckea, ckeb, dqm[7:0], cs[3:0]# ouput valid from sdclk[3:0] t1 min = z ? 2.7 1 t1 max = z + 0.3 1 ns t 2 ma[12:0], ba[1:0] output valid from sdclk[3:0] t2 min = z ? 2.7 1 t2 max = z + 0.3 1 ns t 3 md[63:0] output va lid from sdclk[3:0] t2 min = z ? 2.6 1 t3 max = z + 0.3 1 ns t 4 md[63:0] read data in setup to sdclk_in 0.7 ns t 5 md[63:0] read data hold to sdclk_in 2.3 ns 1. calculation of minimum and maxi mum values of t1, t2, and t3 : (see figure 5-10 on page 123) x =shift value applied to shft sdclk field where shftsdcl k field = gx_b ase+8404h[5:3]. y = core clock period 2 z = (x * y) equation example: a 200 mhz gx1 processor interfacing with a 66 mhz sdram bus, having a shift value of 2: x = 2 core clock period = 1/(200 mhz) = 5 ns y = 5 2 t1 min = (2 * (5 2)) ? 1.3 = 3.7 ns t1 max = (2 * (5 2)) + 0.5 = 5.5 ns sdclk[3:0] cntrl, ma[12:0], ba[1:0], md[63:0] t 1 , t 2 , t 3 valid sdclk_in md[63:0] read data in t 4 t 5 data valid data valid
198 amd geode? gx1 processor data book electrical specifications revision 4.1 figure 7-12. graphics port timing table 7-19. video interface signals symbol parameter min max unit t 1 pclk period 6.3 40.0 ns t 2 pclk high time 2.8 ns t 3 pclk low time 2.8 ns t 4 pixel[17:0], crt_hsync, crt_vsync, fp_hsync, fp_vsync, ena_disp valid delay from pclk rising edge 1.3 1 3.8 ns t 5 vid_clk period 7.5 ns t 6 vid_rdy setup to vid_clk rising edge 5.0 ns t 7 vid_rdy hold to vid_clk rising edge 2.0 ns t 8 vid_val, vid_data[7:0] valid delay from vid_clk rising edge 1.0 2 3.2 ns t 9 dclk period 6.3 ns t 10 dclk rise/fall time 2.0 ns t cyc dclk duty cycle 40 60 % 1. tested to 1.0 ns, guaranteed by design to 1.3 ns. 2. vid_val tested to 0.8 ns, gu aranteed by design to 1.0 ns. t 1 t 2 t 3 t 4 pclk pixel[17:0], crt_hsync, crt_vsync, fp_hsync, fp_vsync, ena_disp data valid data valid
amd geode? gx1 processor data book 199 electrical specifications revision 4.1 figure 7-13. video port timing figure 7-14. dclk timing t 5 t 8 vid_val vid_clk vid_data[7:0] t 6 t 7 vid_rdy dclk t 9 t 10
200 amd geode? gx1 processor data book electrical specifications revision 4.1 figure 7-15. tck timing and measurement points figure 7-16. jtag test timings table 7-20. jtag ac specification symbol parameter min max unit tck frequency (mhz) 25 mhz t 1 tck period 40 ns t 2 tck high time 10 ns t 3 tck low time 10 ns t 4 tck rise time 4 ns t 5 tck fall time 4 ns t 6 tdo valid delay 3 25 ns t 7 non-test outputs valid delay 3 25 ns t 8 tdo float delay 30 ns t 9 non-test outputs float delay 36 ns t 10 tdi, tms setup time 8 ns t 11 non-test inputs setup time 8 ns t 12 tdi, tms hold time 7 ns t 13 non-test inputs hold time 7 ns tck 1.5 v v ih(min) v il(max) t 2 t 1 t 4 t 5 t 3 1.5v t 10 t 12 t 6 t 8 t 9 t 7 t 11 t 13 tck tdi, tms tdo output signals input signals
amd geode? gx1 processor data book 201 instruction set revision 4.1 8.0 instruction set this section summarizes the instruction set of the amd geode? gx1 processor and provides detailed information on the instruction encodings. the instruction set is divided into four categories:  processor core instruction set - listed in table 8-27 on page 211.  fpu instruction set - listed in table 8-29 on page 223.  mmx instruction set - listed in table 8-31 on page 228.  extended mmx instruction set - listed in table 8-33 on page 232. these tables provide information on the instruction encod- ing, and the instruction clock counts for each instruction. the clock count values for these tables are based on the fol- lowing assumptions 1) all clock counts refer to the internal processor core clock frequency. for example, clock doubled gx1 pro- cessor cores will reference a clock frequency that is twice the bus frequency. 2) the instruction has been prefetched, decoded and is ready for execution. 3) bus cycles do not require wait states. 4) there are no local bus hold requests delaying pro- cessor access to the bus. 5) no exceptions are detected during instruction execu- tion. 6) if an effective address is calculated, it does not use two general register components. one register, scaling and displacement can be used within the clock count shown. however, if the effective address calculation uses two general register components, add one clock to the clock count shown. 7) all clock counts assume aligned 32-bit memory/io operands. 8) if instructions access a 32-bit operand on odd addresses, add one clock for read or write and add two clocks for read and write. 9) for non-cached memory accesses, add two clocks (clock doubled gx1 processor cores) or four clocks (clock tripled gx1 proce ssor cores), assuming zero wait state memory accesses. 10) locked cycles are not cacheable. therefore, using the lock prefix with an instruction adds additional clocks as specified in item 9 above. 8.1 general instruction set format depending on the instruction, the gx1 processor core instructions follow the genera l instruction format shown in table 8-1. these instructions vary in length and can start at any byte address. an instruction consis ts of one or more bytes that can include prefix bytes, at least one opcode byte, a mod r/m byte, an s-i-b byte, address displacement, and imme- diate data. an instruction can be as short as one byte and as long as 15 bytes. if there are more than 15 bytes in the instruction, a general protection fault (error code 0) is gen- erated. the fields in the general instruction format at the byte level are summarized in table 8-2 and detailed in the following subsections. table 8-1. general instruction set format prefix (optional) opcode register and address mode specifier address displacement immediate data mod r/m byte s-i-b byte mod reg r/m ss index base 0 or more bytes 1 or 2 bytes 7:6 5:3 2:0 7:6 5:3 2 :0 0, 8, 16, or 32 bits 0, 8, 16, or 32 bits
202 amd geode? gx1 processor data book instruction set revision 4.1 8.1.1 prefix (optional) prefix bytes can be placed in front of any instruction to modify the operation of that instruction. when more than one prefix is used, the order is not important. there are five types of prefixes that can be used: 1) segment override explicitly specifies which segment register the instruction will use for effective address calculation. 2) address size switches between 16-bit and 32-bit addressing by selecting the non-default address size. 3) operand size switches between 16-bit and 32-bit operand size by selecting the non-default operand size. 4) repeat is used with a string instruction to cause the instruction to be repeated for each element of the string. 5) lock is used to assert the hardware lock# signal during execution of the instruction. table 8-3 lists the encoding for different types of prefix bytes. table 8-2. instruction fields field name description prefix (optional) prefix field( s): one or more optional fields that are used to specify segment register override, address and operand size, repeat elements in string instruction, lock# assertion. opcode opcode field: identifies instruction operation. mod address mode specifier: used with r/m field to select addressing mode. reg general register specifier: uses r eg, sreg3 or sreg2 encodi ng depending on opcode field. r/m address mode specifier: used with mod field to select addressing mode. ss scale factor: determines scaled-index address mode. index index: determines general regi ster to be used as index register. base base: determines general regi ster to be used as base register. address displacement displacement: determines address displacement. immediate data immediate data: immediate data operand used by instruction. table 8-3. instruction prefix summary prefix encoding description es: 26h override segment default, use es for memory operand. cs: 2eh override segment default, use cs for memory operand. ss: 36h override segment default, use ss for memory operand. ds: 3eh override segment default, use ds for memory operand. fs: 64h override segment default, use fs for memory operand. gs: 65h override segment default, use gs for memory operand. operand size 66h make operand size attribute the inverse of the default. address size 67h make address size attribute the inverse of the default. lock f0h assert lock# hardware signal. repne f2h repeat the following string instruction. rep/repe f3h repeat the following string instruction.
amd geode? gx1 processor data book 203 instruction set revision 4.1 8.1.2 opcode the opcode field specifies the operation to be performed by the instruction. the opcode field is either one or two bytes in length and may be further defined by additional bits in the mod r/m byte. so me operations have more than one opcode, each specifying a different form of the opera- tion. certain opcodes name instruction groups. for exam- ple, opcode 80h names a group of operations that have an immediate operand and a register or memory operand. the reg field may appear in the second opcode byte or in the mod r/m byte. the opcode may contain w, d, s and eee opcode fields, for example, as shown in table 8-27 on page 211. 8.1.2.1 w field (operand size) when used, the 1-bit w field selects the operand size dur- ing 16-bit and 32-bit data operations. see table 8-4. 8.1.2.2 d field (operand direction) when used, the 1-bit d field determines which operand is taken as the source operand and which operand is taken as the destination. see table 8-5. 8.1.2.3 s field (immedi ate data field size) when used, the 1-bit s field determines the size of the immediate data field. if the s bit is set, the immediate field of the opcode is 8 bits wide and is sign-extended to match the operand size of the opcode. see table 8-6. 8.1.2.4 eee field (mov-i nstruction register selection) the eee field (bits [5:3]) is used to select the control, debug and test registers in the mov instructions. the type of reg- ister and base registers selected by the eee field are listed in table 8-7. the values shown in table 8-7 are the only valid encodings for the eee bits. table 8-4. w field encoding w field operand size 16-bit data operations 32-bit data operations 0 8 bits 8 bits 1 16 bits 32 bits table 8-5. d field encoding d field direction of operation source operand destination operand 0 register-to-register or register-to-memory reg mod r/m or mod ss-index- base 1 register-to-register or memory-to-register mod r/m or mod ss- index-base reg table 8-6. s field encoding s field immediate field size 8-bit operand size 16-bit operand size 32-bit operand size 0 (or not present) 8 bits 16 bits 32 bits 1 8 bits 8 bits (sign-extended) 8 bits (sign-extended) table 8-7. eee field encoding eee field register type base register 000 control register cr0 010 control register cr2 011 control register cr3 100 control register cr4 000 debug register dr0 001 debug register dr1 010 debug register dr2 011 debug register dr3 110 debug register dr6 111 debug register dr7 011 test register tr3 100 test register tr4 101 test register tr5 110 test register tr6 111 test register tr7
204 amd geode? gx1 processor data book instruction set revision 4.1 8.1.3 mod and r/m byte (memory addressing) the mod and r/m fields within the mod r/m byte, select the type of memory addressing to be used. some instructions use a fixed addressing mode (e.g., push or pop) and therefore, these fields are not present. table 8-8 lists the addressing method when 16-bit addressing is used and a mod r/m byte is present. some mod r/m field encodings are dependent on the w field and are shown in table 8-9. 8.1.4 reg field the reg field (table 8-10) determines which general regis- ters are to be used. the sele cted register is dependent on whether a 16- or 32-bit operation is current and on the status of the w bit. 8.1.4.1 sreg2 field (es, cs, ss, ds register selection) the sreg2 field (table 8-11) is a 2-bit field that allows one of the four 286-type segment registers to be specified. table 8-8. mod r/m field encoding mod field r/m field 16-bit address mode with mod r/m byte 1 1. d8 refers to 8-bit displacement, d16 refers to 16-bit displace- ment, and d32 refers to a 32-bit displacement. 32-bit address mode with mod r/m byte and no s-i-b byte present 1 00 000 ds:[bx+si] ds:[eax] 00 001 ds:[bx+di] ds:[ecx] 00 010 ss:[bp+si] ds:[edx] 00 011 ss:[bp+di] ds:[ebx] 00 100 ds:[si] s-i-b is present (see table 8-15) 00 101 ds:[di] ds:[d32] 00 110 ds:[d16] ds:[esi] 00 111 ds:[bx] ds:[edi] 01 000 ds:[bx+si+d8] ds:[eax+d8] 01 001 ds:[bx+di+d8] ds:[ecx+d8] 01 010 ss:[bp+si+d8] ds:[edx+d8] 01 011 ss:[bp+di+d8] ds:[ebx+d8] 01 100 ds:[si+d8] s-i-b is present (see table 8-15) 01 101 ds:[di+d8] ss:[ebp+d8] 01 110 ss:[bp+d8] ds:[esi+d8] 01 111 ds:[bx+d8] ds:[edi+d8] 10 000 ds:[bx+si+d16] ds:[eax+d32] 10 001 ds:[bx+di+d16] ds:[ecx+d32] 10 010 ss:[bp+si+d16] ds:[edx+d32] 10 011 ss:[bp+di+d16] ds:[ebx+d32] 10 100 ds:[si+d16] s-i-b is present (see table 8-15) 10 101 ds:[di+d16] ss:[ebp+d32] 10 110 ss:[bp+d16] ds:[esi+d32] 10 111 ds:[bx+d16] ds:[edi+d32] 11 xxx see table 8-9. see table 8-9 table 8-9. general registers selected by mod r/m fields and w field mod r/m 16-bit operation 32-bit operation w = 0 w = 1 w = 0 w = 1 11 000 al ax al eax 11 001 cl cx cl ecx 11 010 dl dx dl edx 11 011 bl bx bl ebx 11 100 ah sp ah esp 11 101 ch bp ch ebp 11 110 dh si dh esi 11 111 bh di bh edi table 8-10. general registers selected by reg field reg 16-bit operation 32-bit operation w = 0 w = 1 w = 0 w = 1 000 al ax al eax 001 cl cx cl ecx 010 dl dx dl edx 011 bl bx bl ebx 100 ah sp ah esp 101 ch bp ch ebp 110 dh si dh esi 111 bh di bh edi table 8-11. sreg2 field encoding sreg2 field segment register selected 00 es 01 cs 10 ss 11 ds
amd geode? gx1 processor data book 205 instruction set revision 4.1 8.1.4.2 sreg3 field (fs and gs segment register selection) the sreg3 field (table 8-12) is 3-bit field that is similar to the sreg2 field, but allows use of the fs and gs segment registers. 8.1.5 s-i-b byte (scale, indexing, base) the s-i-b fields provide scale factor, indexing and a base field for address selection. the ss, index and base fields are described next. 8.1.5.1 ss field (scale selection) the ss field (table 8-13) specifies the scale factor used in the offset mechanism for address calculation. the scale factor multiplies the index value to provide one of the com- ponents used to calculate the offset address. 8.1.5.2 index field (index selection) the index field (table 8-14) specifies the index register used by the offset mechanism for offset address calcula- tion. when no index register is used (index field = 100), the ss value must be 00 or the effective address is undefined. 8.1.5.3 base field (s-i-b present) in table 8-8, the note ?s-i-b is present? for certain entries forces the use of the mod and base field as listed in table 8-15. the first two digits in the first column of table 8-15 identifies the mod bits in the mod r/m byte. the last three digits in the first column of this table identify the base fields in the s-i-b byte. table 8-12. sreg3 field encoding sreg3 field segment register selected 000 es 001 cs 010 ss 011 ds 100 fs 101 gs 110 undefined 111 undefined table 8-13. ss field encoding ss field scale factor 00 x1 01 x2 01 x4 11 x8 table 8-14. index field encoding index field index register 000 eax 001 ecx 010 edx 011 ebx 100 none 101 ebp 110 esi 111 edi table 8-15. mod base field encoding mod field within mode/rm byte (bits 7:6) base field within s-i-b byte (bits 2:0) 32-bit address mode with mod r/m and s-i-b bytes present 00 000 ds:[eax+(scaled index)] 00 001 ds:[ecx+(scaled index)] 00 010 ds:[edx+(scaled index)] 00 011 ds:[ebx+(scaled index)] 00 100 ss:[esp+(scaled index)] 00 101 ds:[d32+(scaled index)] 00 110 ds:[esi+(scaled index)] 00 111 ds:[edi+(scaled index)] 01 000 ds:[eax+(scaled index)+d8] 01 001 ds:[ecx+(scaled index)+d8] 01 010 ds:[edx+(scaled index)+d8] 01 011 ds:[ebx+(scaled index)+d8] 01 100 ss:[esp+(scaled index)+d8] 01 101 ss:[ebp+(scaled index)+d8] 01 110 ds:[esi+(scaled index)+d8] 01 111 ds:[edi+(scaled index)+d8] 10 000 ds:[eax+(scaled index)+d32] 10 001 ds:[ecx+(scaled index)+d32] 10 010 ds:[edx+(scaled index)+d32] 10 011 ds:[ebx+(scaled index)+d32] 10 100 ss:[esp+(scaled index)+d32] 10 101 ss:[ebp+(scaled index)+d32] 10 110 ds:[esi+(scaled index)+d32] 10 111 ds:[edi+(scaled index)+d32]
206 amd geode? gx1 processor data book instruction set revision 4.1 8.2 cpuid instruction the cpuid instruction (opcode 0fa2) allows the software to make processor inquiries as to the vendor, family, model, stepping, features and also provides cache information. the gx1 supports both the standard and amd extended cpuid levels. the presence of the cpuid inst ruction is indicated by the ability to change the value of the id flag, bit 21 in the eflags register. the cpuid level allows the cpuid instruction to return dif- ferent information in the eax, ebx, ecx, and edx regis- ters. the level is determined by the initialized value of the eax register before the instruct ion is executed. a summary of the cpuid levels is shown in table 8-16. 8.2.1 standard cpuid levels the standard cpuid levels ar e part of the standard x86 instruction set. 8.2.1.1 cpuid instruct ion with eax = 00000000h standard function 0h (eax = 0) of the cpuid instruction returns the maximum standard cpuid levels as well as the processor vendor string. after the instruction is execut ed, the eax register contains the maximum standard cpuid le vels supported. the maxi- mum standard cpuid level is the highest acceptable value for the eax register input. this does not include the extended cpuid levels. the ebx through edx registers contain the vendor string of the processor as shown in table 8-17. 8.2.1.2 cpuid instructio n with eax = 00000001h standard function 01h (eax = 1) of the cpuid instruction returns the processor type, family, model, and stepping information of the current processor in the eax register (see table 8-18). the ebx and ecx registers are reserved. the standard feature flags supported are returned in the edx register as shown in table 8-19. each flag refers to a specific feature and indicates if that feature is present on the processor. some of these features have protection con- trol in cr4. before using any of these features on the pro- cessor, the software shou ld check the corresponding feature flag. attempting to execute an unavailable feature can cause exceptions and unexpected behavior. for exam- ple, software must check edx bit 4 before attempting to use the time stamp counter instruction. table 8-16. cpuid levels summary cpuid type initialized eax register returned data in eax, ebx, ecx, edx registers standard 00000000h maximum standard levels, cpu vendor string standard 00000001h model, family, type and features standard 00000002h tlb and cache information extended 80000000h maximum extended levels extended 80000001h extended model, family, type and features extended 80000002h cpu marketing name string extended 80000003h extended 80000004h extended 80000005h tlb and l1 cache description table 8-17. cpuid data returned when eax = 0 register 1 1. the register column is intentionally out of order. returned contents description eax 2 max. standard level ebx 64 6f 65 47 (doeg) vendor id string 1 edx 79 62 20 65 (yb e) vendor id string 2 ecx 43 53 4e 20 (csn) vendor id string 3 table 8-18. eax, ebx, ecx cpuid data returned when eax = 1 register returned contents description eax[3:0] xx stepping id eax[7:4] 4 model eax[11:8] 5 family eax[15:12] 0 type eax[31:16] - reserved ebx - reserved ecx - reserved
amd geode? gx1 processor data book 207 instruction set revision 4.1 8.2.1.3 cpuid instructio n with eax = 00000002h standard function 02h (eax = 02h) of the cpuid instruc- tion returns information that is specific to the amd family of processors. information about the tlb is returned in eax as shown in table 8-20. information about the l1 cache is returned in edx. 8.2.2 extended cpuid levels testing for extended cpuid instruction support can be accomplished by executing a cpuid instruction with the eax register initialized to 80000000h. if a value greater than or equal to 80000000h is returned to the eax register by the cpuid instruction, th e processor supports extended cpuid levels. 8.2.2.1 cpuid instructio n with eax = 80000000h extended function 80000000h (eax = 80000000h) of the cpuid instruction returns the maximum extended cpuid levels supported by the curr ent processor in eax (table 8- 21). the ebx, ecx, and edx registers are currently reserved. table 8-19. edx cpuid data returned when eax = 1 edx returned contents 1 1. 0 = not supported feature flag cr4 bit edx[0] 1 fpu on-chip - edx[1] 0 virtual mode extension - edx[2] 0 debug extensions - edx[3] 0 page size extensions - edx[4] 1 time stamp counter 2 edx[5] 1 rdmsr / wrmsr instructions - edx[6] 0 physical address extensions - edx[7] 0 machine check exception - edx[8] 1 cmpxchg8b instruction - edx[9] 0 on-chip apic hardware - edx[10] 0 reserved - edx[11] 0 sysenter / sysexit instructions - edx[12] 0 memory type range registers - edx[13] 0 page global enable - edx[14] 0 machine check architecture - edx[15] 1 conditional move instructions - edx[16] 0 page attribute table - edx[22:17] 0 reserved - edx[23] 1 mmx instructions - edx[24] 0 fast fpu save and restore - edx[31:25] 0 reserved - table 8-20. standard cpuid with eax = 00000002h register returned contents description eax xx xx 70 xxh tlb is 32 entry, 4-way set asso- ciative, and has 4 kb pages. eax xx xx xx 01h the cpuid instruction needs to be executed only once with an input value of 02h to retrieve complete information about the cache and tlb. ebx reserved ecx reserved edx xx xx xx 80h l1 cache is 16 kb, 4-way set associated, and has 16 bytes per line. table 8-21. maximum extended cpuid level register returned contents description eax 80000005h maximum extended cpuid level (six levels) ebx - reserved ecx - reserved edx - reserved
208 amd geode? gx1 processor data book instruction set revision 4.1 8.2.2.2 cpuid instruct ion with eax = 80000001h extended function 80000001h (eax = 80000001h) of the cpuid instruction returns the processor type, family, model, and stepping informatio n of the current processor in eax. the ebx and ecx re gisters are reserved. the extended feature flags supported are returned in the edx register as shown in table 8-23. each flag refers to a specific feature and indicates if that feature is present on the processor. some of these features have protection con- trol in cr4. before using any of these features on the pro- cessor, the software should check the corresponding feature flag. table 8-22. eax, ebx, ecx cpuid data returned when eax = 80000001h register returned contents description eax[3:0] xx stepping id eax[7:4] 4 model eax[11:8] 5 family eax[15:12] 0 processor type eax[31:16] - reserved ebx - reserved ecx - reserved table 8-23. edx cpuid data returned when eax = 80000001h edx returned contents 1 1. 0 = not supported. feature flag cr4 bit edx[0] 1 fpu on-chip - edx[1] 0 virtual mode extension - edx[2] 0 debugging extension - edx[3] 0 page size extension (4 mb) - edx[4] 1 time stamp counter 2 edx[5] 1 model-specific registers (via rdmsr / wrmsr instructions) - edx[6] 0 reserved - edx[7] 0 machine check exception - edx[8] 1 cmpxchg8b instruction - edx[9] 0 reserved - edx[10] 0 reserved - edx[11] 0 syscall / sysret instruction - edx[12] 0 reserved - edx[13] 0 page global enable - edx[14] 0 reserved - edx[15] 1 integer conditional move instruction - edx[16] 0 fpu conditional move instruction - edx[22:17] 0 reserved - edx[23] 1 mmx - edx[24] 1 6x86mx multimedia exten- sions -
amd geode? gx1 processor data book 209 instruction set revision 4.1 8.2.2.3 cpuid instructio n with eax = 80000002h, 80000003h, 80000004h extended functions 8000 0002h through 80000004h (eax = 80000002h, eax = 80000003h, eax = 80000004h) of the cpuid instruction returns an ascii string containing the name of the current processor. these functions elimi- nate the need to look up the processor name in a lookup table. software can simply call these functions to obtain the name of the processor. the string may be 48 ascii char- acters long, and is returned in little endian format. if the name is shorter than 48 characters long, the remaining bytes will be filled with ascii nul characters (00h). 8.2.2.4 cpuid instructio n with eax = 80000005h extended function 80000005h (eax = 80000005h) of the cpuid instruction returns information about the tlb and l1 cache to be looked up in a lookup table. refer to table 8-25. table 8-24. official cpu name 80000002h 80000003h 80000004h eax cpu name 1 eax cpu name 5 eax cpu name 9 ebx cpu name 2 ebx cpu name 6 ebx cpu name 10 ecx cpu name 3 ecx cpu name 7 ecx cpu name 11 edx cpu name 4 edx cpu name 8 edx cpu name 12 table 8-25. standard cpuid with eax = 80000005h register returned contents description eax -- reserved ebx xx xx 70 xxh tlb is 32 entry, 4-way set associa- tive, and has 4 kb pages. ebx xx xx xx 01h the cpuid instruction needs to be executed only once with an input value of 02h to retrieve complete information about the cache and tlb. ecx xx xx xx 80h l1 cache is 16 kb, 4-way set asso- ciated, and has 16 bytes per line. edx -- reserved
210 amd geode? gx1 processor data book instruction set revision 4.1 8.3 processor core instruction set the instruction set for the gx1 processor core is summa- rized in table 8-27. the table uses several symbols and abbreviations that are described next and listed in table 8- 26. 8.3.1 opcodes opcodes are given as hex values except when they appear within brackets as binary values. 8.3.2 clock counts the clock counts listed in the instruction set summary table are grouped by operating mode (real and protected) and whether there is a register/cache hit or a cache miss. in some cases, more than one clock count is shown in a col- umn for a given instruction, or a variable is used in the clock count. 8.3.3 flags there are nine flags that are affected by the execution of instructions. the flag names have been abbreviated and various conventions used to indicate w hat effect the instruction has on the particular flag. table 8-26. processor core instruction set table legend symbol or abbreviation description opcode # immediate 8-bit data. ## immediate 16-bit data. ### full immediate 32-bit data (8, 16, 32 bits). + 8-bit signed displacement. +++ full signed displacement (16, 32 bits). clock count / register operand/memory operand. n number of times operation is repeated. l level of the stack frame. | conditional jump taken | conditional jump not taken. (e.g. ?4|1? = 4 clocks if jump taken, 1 clock if jump not taken). \cpl iopl \ cpl > iopl (where cpl = current privilege level, iopl = i/o privilege level). flags of overflow flag. df direction flag. if interrupt enable flag. tf trap flag. sf sign flag. zf zero flag. af auxiliary flag. pf parity flag. cf carry flag. x flag is modified by the instruction. - flag is not changed by the instruction. 0 flag is reset to ?0?. 1 flag is set to ?1?. u flag is undefined following execution the instruction.
amd geode? gx1 processor data book 211 instruction set revision 4.1 table 8-27. processor core instruction set summary instruction opcode flags real mode prot?d mode real mode prot?d mode odi t s z ap c fffffffff clock count (reg/cache hit) issues aaa ascii adjust al after add 37 u -- -uuxux 3 3 aad ascii adjust ax before divide d5 0a u- - - xxuxu 7 7 aam ascii adjust ax after multiply d4 0a u - - - x x u x u 19 19 aas ascii adjust al after subtract 3f u- - - uuxux 3 3 adc add with carry register to register 1 [00dw] [11 reg r/m] x - - - xxxxx 1 1 b h register to memory 1 [000w] [mod reg r/m] 1 1 memory to register 1 [001w] [mod reg r/m] 1 1 immediate to register/memory 8 [00sw] [mod 010 r/m]### 1 1 immediate to accumulator 1 [010w] ### 1 1 add integer add register to register 0 [00dw] [11 reg r/m] x - - - xxxxx 1 1 b h register to memory 0 [000w] [mod reg r/m] 1 1 memory to register 0 [001w] [mod reg r/m] 1 1 immediate to register/memory 8 [00sw] [mod 000 r/m]### 1 1 immediate to accumulator 0 [010w] ### 1 1 and boolean and register to register 2 [00dw] [11 reg r/m] 0 - - - x x u x 0 1 1 b h register to memory 2 [000w] [mod reg r/m] 1 1 memory to register 2 [001w] [mod reg r/m] 1 1 immediate to register/memory 8 [00sw] [mod 100 r/m]### 1 1 immediate to accumulator 2 [010w] ### 1 1 arpl adjust requested privilege level from register/memory 63 [mod reg r/m] -----x--- 9 a h bb0_reset set blt buffer 0 pointer to the base 0f 3a 2 2 bb1_reset set blt buffer 1 pointer to the base 0f 3b 2 2 bound check array boundaries if out of range (int 5) 62 [mod reg r/m] --------- 8+int 8+int b, e g,h,j,k,r if in range 77 bsf scan bit forward register, register/memory 0f bc [mod reg r/m] -----x--- 4/9+n 4/9+n b h bsr scan bit reverse register, register/memory 0f bd [mod reg r/m] -----x--- 4/11+n4/11+n b h bswap byte swap 0f c[1 reg] --------- 6 6 bt test bit register/memory, immediate 0f ba [mod 100 r/m]# --------x 1 1 b h register/memory, register 0f a3 [mod reg r/m] 1/7 1/7 btc test bit and complement register/memory, immediate 0f ba [mod 111 r/m]# --------x 2 2 b h register/memory, register 0f bb [mod reg r/m] 2/8 2/8 btr test bit and reset register/memory, immediate 0f ba [mod 110 r/m]# --------x 2 2 b h register/memory, register 0f b3 [mod reg r/m 2/8 2/8 bts test bit and set register/memory 0f ba [mod 101 r/m] --------x 2 2 b h register (short form) 0f ab [mod reg r/m] 2/8 2/8
212 amd geode? gx1 processor data book instruction set revision 4.1 call subroutine call direct within segment e8 +++ --------- 3 3 b h,j,k,r register/memory indirect within segment ff [mod 010 r/m] 3/4 3/4 direct intersegment -call gate to same privilege -call gate to different privilege no par?s -call gate to different privilege m par?s -16-bit task to 16-bit tss -16-bit task to 32-bit tss -16-bit task to v86 task -32-bit task to 16-bit tss -32-bit task to 32-bit tss -32-bit task to v86 task 9a [unsigned full offset, selector] 914 24 45 51+2m 183 189 123 186 192 126 indirect intersegment -call gate to same privilege -call gate to different privilege no par?s -call gate to different privilege m par?s -16-bit task to 16-bit tss -16-bit task to 32-bit tss -16-bit task to v86 task -32-bit task to 16-bit tss -32-bit task to 32-bit tss -32-bit task to v86 task ff [mod 011 r/m] 11 15 25 46 52+2m 184 190 124 187 193 127 cbw convert byte to word 98 --------- 3 3 cdq convert doubleword to quadword 99 --------- 2 2 clc clear carry flag f8 --------0 1 1 cld clear direction flag fc -0------- 4 4 cli clear interrupt flag fa --0------ 6 6 m clts clear task switched flag 0f 06 --------- 7 7 c l cmc complement the carry flag f5 --------x 3 3 cmova/cmovnbe move if above/not below or equal register, register/memory 0f 47 [mod reg r/m] --------- 1 1 r cmovbe/cmovna move if below or equal/not above register, register/memory 0f 46 [mod reg r/m] --------- 1 1 r cmovae/cmovnb/cmovnc move if above or equal/not below/not carry register, register/memory 0f 43 [mod reg r/m] --------- 1 1 r cmovb/cmovc/cmovnae move if below/carry/not above or equal register, register/memory 0f 42 [mod reg r/m] --------- 1 1 r cmove/cmovz move if equal/zero register, register/memory 0f 44 [mod reg r/m] --------- 1 1 r cmovne/cmovnz move if not equal/not zero register, register/memory 0f 45 [mod reg r/m] --------- 1 1 r cmovg/cmovnle move if greater/not less or equal register, register/memory 0f 4f [mod reg r/m] --------- 1 1 r cmovle/cmovng move if less or equal/not greater register, register/memory 0f 4e [mod reg r/m] --------- 1 1 r cmovl/cmovnge move if less/not greater or equal register, register/memory 0f 4c [mod reg r/m] --------- 1 1 r cmovge/cmovnl move if greater or equal/not less register, register/memory 0f 4d [mod reg r/m] --------- 1 1 r cmovo move if overflow register, register/memory 0f 40 [mod reg r/m] --------- 1 1 r cmovno move if no overflow register, register/memory 0f 41 [mod reg r/m] --------- 1 1 r cmovp/cmovpe move if parity/parity even register, register/memory 0f 4a [mod reg r/m] --------- 1 1 r cmovnp/cmovpo move if not parity/parity odd register, register/memory 0f 4b [mod reg r/m] --------- 1 1 r table 8-27. processor core instruction set summary (continued) instruction opcode flags real mode prot?d mode real mode prot?d mode odi t s z ap c fffffffff clock count (reg/cache hit) issues
amd geode? gx1 processor data book 213 instruction set revision 4.1 cmovs move if sign register, register/memory 0f 48 [mod reg r/m] --------- 1 1 r cmovns move if not sign register, register/memory 0f 49 [mod reg r/m] --------- 1 1 r cmp compare integers register to register 3 [10dw] [11 reg r/m] x - - - xxxxx 1 1 b h register to memory 3 [101w] [mod reg r/m] 1 1 memory to register 3 [100w] [mod reg r/m] 1 1 immediate to register/memory 8 [00sw] [mod 111 r/m] ### 1 1 immediate to accumulator 3 [110w] ### 1 1 cmps compare string a [011w] x - - - xxxxx 6 6 b h cmpxchg compare and exchange register1, register2 0f b [000w] [11 reg2 reg1] x - - - xxxxx 6 6 memory, register 0f b [000w] [mod reg r/m] 6 6 cmpxchg8b compare and exchange 8 bytes 0f c7 [mod 001 r/m] --------- cpuid cpu identification 0f a2 --------- 12 12 cpu_read read special cpu register 0f 3c 1 1 cpu_write write special cpu register 0f 3d 1 1 cwd convert word to doubleword 99 --------- 2 2 cwde convert word to doubleword extended 98 --------- 3 3 daa decimal adjust al after add 27 ---- xxxxx 2 2 das decimal adjust al after subtract 2f ---- xxxxx 2 2 dec decrement by 1 register/memory f [111w] [mod 001 r/m] x- - - xxxx- 1 1 b h register (short form) 4 [1 reg] 1 1 div unsigned divide accumulator by register/memory divisor: byte word doubleword f [011w] [mod 110 r/m] ----xxuu- 20 29 45 20 29 45 b,e e,h enter enter new stack frame level = 0 c8 ##,# --------- 13 13 b h level = 1 17 17 level (l) > 1 17+2*l 17+2*l hlt halt f4 --------- 10 10 l idiv integer (signed) divide accumulator by register/memory divisor: byte word doubleword f [011w] [mod 111 r/m] ----xxuu- 20 29 45 20 29 45 b,e e,h imul integer (signed) multiply accumulator by register/memory multiplier: byte word doubleword f [011w] [mod 101 r/m] x - - - x x u u x 4 5 15 4 5 15 bh register with register/memory multiplier: word doubleword 0f af [mod reg r/m] 5 15 5 15 register/memory with immediate to register2 multiplier: word doubleword 6 [10s1] [mod reg r/m] ### 6 16 6 16 in input from i/o port fixed port e [010w] # --------- 8 8/22 m variable port e [110w] 8 8/22 ins input string from i/o port 6 [110w] --------- 11 11/25 b h,m table 8-27. processor core instruction set summary (continued) instruction opcode flags real mode prot?d mode real mode prot?d mode odi t s z ap c fffffffff clock count (reg/cache hit) issues
214 amd geode? gx1 processor data book instruction set revision 4.1 inc increment by 1 register/memory f [111w] [mod 000 r/m] x- - - xxxx- 1 1 b h register (short form) 4 [0 reg] 1 1 int software interrupt int i cd # --x0----- 19 b,e g,j,k,r protected mode: -interrupt or trap to same privilege -interrupt or trap to different privilege -16-bit task to 16-bit tss by task gate -16-bit task to 32-bit tss by task gate -16-bit task to v86 by task gate -16-bit task to 16-bit tss by task gate -32-bit task to 32-bit tss by task gate -32-bit task to v86 by task gate -v86 to 16-bit tss by task gate -v86 to 32-bit tss by task gate -v86 to privilege 0 by trap gate/int gate 33 55 184 190 124 187 193 127 187 193 64 int 3 cc int int into if of==0 if of==1 (int 4) ce 4 int 4 int invd invalidate cache 0f 08 --------- 20 20 t t invlpg invalidate tlb entry 0f 01 [mod 111 r/m] --------- 15 15 iret interrupt return real mode cf xxxxxxxxx 13 g,h,j,k,r protected mode: -within task to same privilege -within task to different privilege -16-bit task to 16-bit task -16-bit task to 32-bit tss -16-bit task to v86 task -32-bit task to 16-bit tss -32-bit task to 32-bit tss -32-bit task to v86 task 20 39 169 175 109 172 178 112 jb/jnae/jc jump on below/not above or equal/carry 8-bit displacement 72 + --------- 1 1 r full displacement 0f 82 +++ 1 1 jbe/jna jump on below or equal/not above 8-bit displacement 76 + -------- 1 1 r full displacement 0f 86 +++ 1 1 jcxz/jecxz jump on cx/ecx zero e3 + --------- 2 2 r je/jz jump on equal/zero 8-bit displacement 74 + -------- 1 1 r full displacement 0f 84 +++ 1 1 jl/jnge jump on less/not greater or equal 8-bit displacement 7c + -------- 1 1 r full displacement 0f 8c +++ 1 1 jle/jng jump on less or equal/not greater 8-bit displacement 7e + -------- 1 1 r full displacement 0f 8e +++ 1 1 table 8-27. processor core instruction set summary (continued) instruction opcode flags real mode prot?d mode real mode prot?d mode odi t s z ap c fffffffff clock count (reg/cache hit) issues
amd geode? gx1 processor data book 215 instruction set revision 4.1 jmp unconditional jump 8-bit displacement eb + -------- 1 1 b h,j,k,r full displacement e9 +++ 1 1 register/memory indirect within segment ff [mod 100 r/m] 1/3 1/3 direct intersegment -call gate same privilege level -16-bit task to 16-bit tss -16-bit task to 32-bit tss -16-bit task to v86 task -32-bit task to 16-bit tss -32-bit task to 32-bit tss -32-bit task to v86 task ea [unsigned full offset, selector] 812 22 186 192 126 189 195 129 indirect intersegment -call gate same privilege level -16-bit task to 16-bit tss -16-bit task to 32-bit tss -16-bit task to v86 task -32-bit task to 16-bit tss -32-bit task to 32-bit tss -32-bit task to v86 task ff [mod 101 r/m] 10 13 23 187 193 127 190 196 130 jnb/jae/jnc jump on not below/above or equal/not carry 8-bit displacement 73 + -------- 1 1 r full displacement 0f 83 +++ 1 1 jnbe/ja jump on not below or equal/above 8-bit displacement 77 + -------- 1 1 r full displacement 0f 87 +++ 1 1 jne/jnz jump on not equal/not zero 8-bit displacement 75 + -------- 1 1 r full displacement 0f 85 +++ 1 1 jnl/jge jump on not less/greater or equal 8-bit displacement 7d + -------- 1 1 r full displacement 0f 8d +++ 1 1 jnle/jg jump on not less or equal/greater 8-bit displacement 7f + -------- 1 1 r full displacement 0f 8f +++ 1 1 jno jump on not overflow 8-bit displacement 71 + -------- 1 1 r full displacement 0f 81 +++ 1 1 jnp/jpo jump on not parity/parity odd 8-bit displacement 7b + -------- 1 1 r full displacement 0f 8b +++ 1 1 jns jump on not sign 8-bit displacement 79 + -------- 1 1 r full displacement 0f 89 +++ 1 1 jo jump on overflow 8-bit displacement 70 + -------- 1 1 r full displacement 0f 80 +++ 1 1 jp/jpe jump on parity/parity even 8-bit displacement 7a + -------- 1 1 r full displacement 0f 8a +++ 1 1 js jump on sign 8-bit displacement 78 + -------- 1 1 r full displacement 0f 88 +++ 1 1 lahf load ah with flags 9f --------- 2 2 lar load access rights from register/memory 0f 02 [mod reg r/m] -----x--- 9 a g,h,j,p lds load pointer to ds c5 [mod reg r/m] --------- 4 9 b h,i,j table 8-27. processor core instruction set summary (continued) instruction opcode flags real mode prot?d mode real mode prot?d mode odi t s z ap c fffffffff clock count (reg/cache hit) issues
216 amd geode? gx1 processor data book instruction set revision 4.1 lea load effective address no index register 8d [mod reg r/m] --------- 1 1 with index register 11 les load pointer to es c4 [mod reg r/m] --------- 4 9 b h,i,j lfs load pointer to fs 0f b4 [mod reg r/m] --------- 4 9 b h,i,j lgdt load gdt register 0f 01 [mod 010 r/m] --------- 10 10 b,c h,l lgs load pointer to gs 0f b5 [mod reg r/m] --------- 4 9 b h,i,j lidt load idt register 0f 01 [mod 011 r/m] --------- 10 10 b,c h,l lldt load ldt register from register/memory 0f 00 [mod 010 r/m] --------- 8 a g,h,j,l lmsw load machine status word from register/memory 0f 01 [mod 110 r/m] --------- 11 11 b,c h,l lods load string a [110 w] --------- 3 3 b h lsl load segment limit from register/memory 0f 03 [mod reg r/m] -----x--- 9 a g,h,j,p lss load pointer to ss 0f b2 [mod reg r/m] --------- 4 10 a h,i,j ltr load task register from register/memory 0f 00 [mod 011 r/m] --------- 9 a g,h,j,l leave leave current stack frame c9 --------- 4 4 b h loop offset loop/no loop e2 + --------- 2 2 r loopnz/loopne offset e0 + --------- 2 2 r loopz/loope offset e1 + --------- 2 2 r mov move data register to register 8 [10dw] [11 reg r/m] --------- 1 1 b h,i,j register to memory 8 [100w] [mod reg r/m] 1 1 register/memory to register 8 [101w] [mod reg r/m] 1 1 immediate to register/memory c [011w] [mod 000 r/m] ### 1 1 immediate to register (short form) b [w reg] ### 1 1 memory to accumulator (short form) a [000w] +++ 1 1 accumulator to memory (short form) a [001w] +++ 1 1 register/memory to segment register 8e [mod sreg3 r/m] 1 6 segment register to register/memory 8c [mod sreg3 r/m] 1 1 mov move to/from control/debug/test regs register to cr0/cr2/cr3/cr4 0f 22 [11 eee reg] --------- 20/5/5 18/5/6 l cr0/cr2/cr3/cr4 to register 0f 20 [11 eee reg] 6 6 register to dr0-dr3 0f 23 [11 eee reg] 10 10 dr0-dr3 to register 0f 21 [11 eee reg] 9 9 register to dr6-dr7 0f 23 [11 eee reg] 10 10 dr6-dr7 to register 0f 21 [11 eee reg] 9 9 register to tr3-5 0f 26 [11 eee reg] 16 16 tr3-5 to register 0f 24 [11 eee reg] 8 8 register to tr6-tr7 0f 26 [11 eee reg] 11 11 tr6-tr7 to register 0f 24 [11 eee reg] 3 3 movs move string a [010w] --------- 6 6 b h movsx move with sign extension register from register/memory 0f b[111w] [mod reg r/m] --------- 1 1 b h movzx move with zero extension register from register/memory 0f b[011w] [mod reg r/m] --------- 1 1 b h mul unsigned multiply accumulator with register/memory multiplier: byte word doubleword f [011w] [mod 100 r/m] x - - - x x u u x 4 5 15 4 5 15 bh neg negate integer f [011w] [mod 011 r/m] x- - - xxxxx 1 1 b h table 8-27. processor core instruction set summary (continued) instruction opcode flags real mode prot?d mode real mode prot?d mode odi t s z ap c fffffffff clock count (reg/cache hit) issues
amd geode? gx1 processor data book 217 instruction set revision 4.1 nop no operation 90 --------- 1 1 not boolean complement f [011w] [mod 010 r/m] --------- 1 1 b h oio official invalid opcode 0f ff --x0----- 1 8-125 or boolean or register to register 0 [10dw] [11 reg r/m] 0 - - - x x u x 0 1 1 b h register to memory 0 [100w] [mod reg r/m] 1 1 memory to register 0 [101w] [mod reg r/m] 1 1 immediate to register/memory 8 [00sw] [mod 001 r/m] ### 1 1 immediate to accumulator 0 [110w] ### 1 1 out output to port fixed port e [011w] # --------- 14 14/28 m variable port e [111w] 14 14/28 outs output string 6 [111w] --------- 15 15/29 b h,m pop pop value off stack register/memory 8f [mod 000 r/m] --------- 1/4 1/4 b h,i,j register (short form) 5 [1 reg] 1 1 segment register (es, ss, ds) [000 sreg2 111] 1 6 segment register (fs, gs) 0f [10 sreg3 001] 1 6 popa pop all general registers 61 --------- 9 9 b h popf pop stack into flags 9d xxxxxxxxx 8 8 b h,n prefix bytes assert hardware lock prefix f0 --------- m address size prefix 67 operand size prefix 66 segment override prefix -cs -ds -es -fs -gs -ss 2e 3e 26 64 65 36 push push value onto stack register/memory ff [mod 110 r/m] --------- 1/3 1/3 b h register (short form) 5 [0 reg] 1 1 segment register (es, cs, ss, ds) [000 sreg2 110] 1 1 segment register (fs, gs) 0f [10 sreg3 000] 1 1 immediate 6 [10s0] ### 1 1 pusha push all general registers 60 --------- 11 11 b h pushf push flags register 9c --------- 2 2 b h rcl rotate through carry left register/memory by 1 d [000w] [mod 010 r/m] x-------x 3 3 b h register/memory by cl d [001w] [mod 010 r/m] u-------x 8 8 register/memory by immediate c [000w] [mod 010 r/m] # u-------x 8 8 rcr rotate through carry right register/memory by 1 d [000w] [mod 011 r/m] x-------x 4 4 b h register/memory by cl d [001w] [mod 011 r/m] u-------x 8 8 register/memory by immediate c [000w] [mod 011 r/m] # u-------x 8 8 rdmsr read tmodel specific register 0f 32 --------- rdtsc read time stamp counter 0f 31 --------- rep ins input string f3 6[110w] --------- 17+4n 17+4n\ 32+4n bh,m rep lods load string f3 a[110w] --------- 9+2n 9+2n b h rep movs move string f3 a[010w] --------- 12+2n 12+2n b h rep outs output string f3 6[111w] --------- 24+4n 24+4n\ 39+4n bh,m table 8-27. processor core instruction set summary (continued) instruction opcode flags real mode prot?d mode real mode prot?d mode odi t s z ap c fffffffff clock count (reg/cache hit) issues
218 amd geode? gx1 processor data book instruction set revision 4.1 rep stos store string f3 a[101w] --------- 9+2n 9+2n b h repe cmps compare string find non-match f3 a[011w] x- - - xxxxx 11+4n 11+4n b h repe scas scan string find non-al/ax/eax f3 a[111w] x- - - xxxxx 9+3n 9+3n b h repne cmps compare string find match f2 a[011w] x- - - xxxxx 11+4n 11+4n b h repne scas scan string find al/ax/eax f2 a[111w] x- - - xxxxx 9+3n 9+3n b h ret return from subroutine within segment c3 --------- 3 3 b g,h,j,k,r within segment adding immediate to sp c2 ## 3 3 intersegment cb 10 13 intersegment adding immediate to sp ca ## 10 13 protected mode: different privilege level -intersegment -intersegment adding immediate to sp 35 35 rol rotate left register/memory by 1 d[000w] [mod 000 r/m] x - - - ----x 2 2 b h register/memory by cl d[001w] [mod 000 r/m] u - - - ----x 2 2 register/memory by immediate c[000w] [mod 000 r/m] # u - - - ----x 2 2 ror rotate right register/memory by 1 d[000w] [mod 001 r/m] x - - - ----x 2 2 b h register/memory by cl d[001w] [mod 001 r/m] u - - - ----x 2 2 register/memory by immediate c[000w] [mod 001 r/m] # u - - - ----x 2 2 rsdc restore segment register and descripto r 0f 79 [mod sreg3 r/m] --------- 11 11 s s rsldt restore ldtr and descriptor 0f 7b [mod 000 r/m] --------- 11 11 s s rsts restore tsr and descriptor 0f 7d [mod 000 r/m] --------- 11 11 s s rsm resume from smm mode 0f aa xxxxxxxxx 57 57 s s sahf store ah in flags 9e ---- xxxxx 1 1 sal shift left arithmetic register/memory by 1 d[000w] [mod 100 r/m] x - - - x x u x x 1 1 b h register/memory by cl d[001w] [mod 100 r/m] u - - - x x u x x 2 2 register/memory by immediate c[000w] [mod 100 r/m] # u - - - x x u x x 1 1 sar shift right arithmetic register/memory by 1 d[000w] [mod 111 r/m] x - - - x x u x x 2 2 b h register/memory by cl d[001w] [mod 111 r/m] u - - - x x u x x 2 2 register/memory by immediate c[000w] [mod 111 r/m] # u - - - x x u x x 2 2 sbb integer subtract with borrow register to register 1[10dw] [11 reg r/m] x- - - xxxx x 1 1 b h register to memory 1[100w] [mod reg r/m] 1 1 memory to register 1[101w] [mod reg r/m] 1 1 immediate to register/memory 8[00sw] [mod 011 r/m] ### 1 1 immediate to accumulator (short form) 1[110w] ### 1 1 scas scan string a [111w] x - - - xxxx x 2 2 b h setb/setnae/setc set byte on below/not above or equal/carry to register/memory 0f 92 [mod 000 r/m] --------- 1 1 h setbe/setna set byte on below or equal/not above to register/memory 0f 96 [mod 000 r/m] --------- 1 1 h sete/setz set byte on equal/zero to register/memory 0f 94 [mod 000 r/m] --------- 1 1 h setl/setnge set byte on less/not greater or equal to register/memory 0f 9c [mod 000 r/m] --------- 1 1 h table 8-27. processor core instruction set summary (continued) instruction opcode flags real mode prot?d mode real mode prot?d mode odi t s z ap c fffffffff clock count (reg/cache hit) issues
amd geode? gx1 processor data book 219 instruction set revision 4.1 setle/setng set byte on less or equal/not greater to register/memory 0f 9e [mod 000 r/m] --------- 1 1 h setnb/setae/setnc set byte on not below/above or equal/not carry to register/memory 0f 93 [mod 000 r/m] --------- 1 1 h setnbe/seta set byte on not below or equal/above to register/memory 0f 97 [mod 000 r/m] --------- 1 1 h setne/setnz set byte on not equal/not zero to register/memory 0f 95 [mod 000 r/m] --------- 1 1 h setnl/setge set byte on not less/greater or equal to register/memory 0f 9d [mod 000 r/m] --------- 1 1 h setnle/setg set byte on not less or equal/greater to register/memory 0f 9f [mod 000 r/m] --------- 1 1 h setno set byte on not overflow to register/memory 0f 91 [mod 000 r/m] --------- 1 1 h setnp/setpo set byte on not parity/parity odd to register/memory 0f 9b [mod 000 r/m] --------- 1 1 h setns s et byte on not sign to register/memory 0f 99 [mod 000 r/m] --------- 1 1 h seto set byte on overflow to register/memory 0f 90 [mod 000 r/m] --------- 1 1 h setp/setpe set byte on parity/parity even to register/memory 0f 9a [mod 000 r/m] --------- 1 1 h sets set byte on sign to register/memory 0f 98 [mod 000 r/m] --------- 1 1 h sgdt store gdt register to register/memory 0f 01 [mod 000 r/m] --------- 6 6 b,c h sidt store idt register to register/memory 0f 01 [mod 001 r/m] --------- 6 6 b,c h sldt store ldt register to register/memory 0f 00 [mod 000 r/m] --------- 1 a h str store task register to register/memory 0f 00 [mod 001 r/m] --------- 3 a h smsw store machine status word 0f 01 [mod 100 r/m] --------- 4 4 b,c h stos store string a [101w] --------- 2 2 b h shl shift left logical register/memory by 1 d [000w] [mod 100 r/m] x - - - x x u x x 1 1 b h register/memory by cl d [001w] [mod 100 r/m] u - - - x x u x x 2 2 register/memory by immediate c [000w] [mod 100 r/m] # u - - - x x u x x 1 1 shld shift left double register/memory by immediate 0f a4 [mod reg r/m] # u - - - x x u x x 3 3 b h register/memory by cl 0f a5 [mod reg r/m] 6 6 shr shift right logical register/memory by 1 d [000w] [mod 101 r/m] x - - - x x u x x 2 2 b h register/memory by cl d [001w] [mod 101 r/m] u - - - x x u x x 2 2 register/memory by immediate c [000w] [mod 101 r/m] # u - - - x x u x x 2 2 shrd shift right double register/memory by immediate 0f ac [mod reg r/m] # u - - - x x u x x 3 3 b h register/memory by cl 0f ad [mod reg r/m] 6 6 smint software smm entry 0f 38 --------- 84 84 s s stc set carry flag f9 --------1 1 1 std set direction flag fd -1------- 4 4 sti set interrupt flag fb --1------ 6 6 m table 8-27. processor core instruction set summary (continued) instruction opcode flags real mode prot?d mode real mode prot?d mode odi t s z ap c fffffffff clock count (reg/cache hit) issues
220 amd geode? gx1 processor data book instruction set revision 4.1 sub integer subtract register to register 2 [10dw] [11 reg r/m] x - - - xxxxx 1 1 b h register to memory 2 [100w] [mod reg r/m] 1 1 memory to register 2 [101w] [mod reg r/m] 1 1 immediate to register/memory 8 [00sw] [mod 101 r/m] ### 1 1 immediate to accumulator (short form) 2 [110w] ### 1 1 svdc save segment register and descriptor 0f 78 [mod sreg3 r/m] --------- 20 20 s s svldt save ldtr and descriptor 0f 7a [mod 000 r/m] --------- 20 20 s s svts save tsr and descriptor 0f 7c [mod 000 r/m] --------- 21 21 s s test test bits register/memory and register 8 [010w] [mod reg r/m] 0 - - - x x u x 0 1 1 b h immediate data and register/memory f [011w] [mod 000 r/m] ### 1 1 immediate data and accumulator a [100w] ### 1 1 verr verify read access to register/memory 0f 00 [mod 100 r/m] -----x--- 8 a g,h,j,p verw verify write access to register/memory 0f 00 [mod 101 r/m] -----x--- 8 a g,h,j,p wait wait until fpu not busy 9b --------- 1 1 wbinvd write-back and invalidate cache 0f 09 --------- 23 23 t t wrmsr write to model specific register 0f 30 --------- xadd exchange and add register1, register2 0f c[000w] [11 reg2 reg1] x - - - xxxxx 2 2 memory, register 0f c[000w] [mod reg r/m] 2 2 xchg exchange register/memory with register 8[011w] [mod reg r/m] --------- 2 2 b,f f,h register with accumulator 9[0 reg] 2 2 xlat translate byte d7 --------- 5 5 h xor boolean exclusive or register to register 3 [00dw] [11 reg r/m] 0 - - - x x u x 0 1 1 b h register to memory 3 [000w] [mod reg r/m] 1 1 memory to register 3 [001w] [mod reg r/m] 1 1 immediate to register/memory 8 [00sw] [mod 110 r/m] ### 1 1 immediate to accumulator (short form) 3 [010w] ### 1 1 table 8-27. processor core instruction set summary (continued) instruction opcode flags real mode prot?d mode real mode prot?d mode odi t s z ap c fffffffff clock count (reg/cache hit) issues
amd geode? gx1 processor data book 221 instruction set revision 4.1 instruction issues for instruction set summary issues a through c apply to real address mode only: a. this is a protected mode instruction. at tempted execu- tion in real mode will result in exception 6 (invalid opcode). b. exception 13 fault (general protection) will occur in real mode if an operand reference is made that partially or fully extends beyond the maximum cs, ds, es, fs, or gs segment limit (ffffh). exception 12 fault (stack segment limit violation or not present) will occur in real mode if an operand reference is made that partially or fully extends beyond the maximum ss limit. c. this instruction may be executed in real mode. in real mode, its purpose is primarily to initialize the cpu for protected mode. d. - issues e through g apply to real address mode and pro- tected virtual address mode: e. an exception may occur, depending on the value of the operand. f. lock# is automatically as serted, regardless of the presence or absence of the lock prefix. g. lock# is asserted during descriptor table accesses. issues h through r apply to protected virtual address mode only: h. exception 13 fault will occur if the memory operand in cs, ds, es, fs, or gs cannot be used due to either a segment limit violation or an access rights violation. if a stack limit is violated, an exception 12 occurs. i. for segment load operations, the cpl, rpl, and dpl must agree with the privilege rules to avoid an excep- tion 13 fault. the segment?s descriptor must indicate ?present? or exception 11 (cs, ds, es, fs, gs not present). if the ss register is loaded and a stack segment not present is det ected, an exception 12 occurs. j. all segment descriptor accesses in the gdt or ldt made by this instruction will automatically assert lock# to maintain descriptor integrity in multipro- cessor systems. k. jmp, call, int, ret, and iret instructions referring to another code segment w ill cause an exception 13, if an applicable privilege rule is violated. l. an exception 13 fault occurs if cpl is greater than 0 (0 is the most privileged level). m. an exception 13 fault occurs if cpl is greater than iopl. n. the if bit of the flags regi ster is not updated if cpl is greater than iopl. the iopl and vm fields of the flags register are updated only if cpl = 0. o. the pe bit of the msw (cr0) cannot be reset by this instruction. use mov into cr0 if desiring to reset the pe bit. p. any violation of privilege rules as apply to the selector operand does not cause a protection exception, rather, the zero flag is cleared. q. if the processor?s memory operand violates a segment limit or segment access rights, an exception 13 fault will occur before the esc instruction is executed. an exception 12 fault will occur if the stack limit is violated by the operand?s starting address. r. the destination of a jmp, call, int, ret, or iret must be in the defined limi t of a code segment or an exception 13 fault will occur. issue s applies to amd specific smm instructions: s. all memory accesses to smm space are non-cache- able. an invalid opcode exception 6 occurs unless smi is enabled and smar size > 0, and cpl = 0 and [smac is set or if in an smi handler]. issue t applies to cache invalidation instruction with the cache operating in write-back mode: t. the total clock count is the clock count shown plus the number of clocks required to write all ?modified? cache lines to external memory.
222 amd geode? gx1 processor data book instruction set revision 4.1 8.4 fpu instruction set the processor core is functionally divided into the fpu, and the integer unit. the fpu processes floating point instructions only and does so in parallel with the integer unit. for example, when the integer unit detects a floating point instruction without memory operands, after two clock cycles the instruction passes to the fpu for execution. the integer unit continues to execute instructions while the fpu executes the floating point in struction. if another fpu instruction is encountered, th e second fpu instruction is placed in the fpu queue. up to four fpu instructions can be queued. in the event of an fpu exception, while other fpu instructions are queued, th e state of the cpu is saved to ensure recovery. the fpu instruction set is summarized in table 8-29. the table uses abbreviations that are described table 8-28. table 8-28. fpu instruction set table legend abbr. description n stack register number. tos top of stack register pointed to by sss in the status register. st(1) fpu register next to tos. st(n) a specific fpu register, relative to tos. m.wi 16-bit integer operand from memory. m.si 32-bit integer operand from memory. m.li 64-bit integer operand from memory. m.sr 32-bit real operand from memory. m.dr 64-bit real operand from memory. m.xr 80-bit real operand from memory. m.bcd 18-digit bcd integer operand from mem- ory. cc fpu condition code. env regs status, mode control and tag registers, instruction pointer and operand pointer.
amd geode? gx1 processor data book 223 instruction set revision 4.1 table 8-29. fpu instruction set summary fpu instruction opcode operation clock count issue f2xm1 function evaluation 2x-1 d9 f0 tos <--- 2 tos -1 92 - 108 2 fabs floating absolute value d9 e1 tos <--- | tos | 2 2 fadd floating point add top of stack dc [1100 0 n] st(n) <--- st(n) + tos 4 - 9 80-bit register d8 [1100 0 n] tos <--- tos + st(n) 4 - 9 64-bit real dc [mod 000 r/m] tos <--- tos + m.dr 4 - 9 32-bit real d8 [mod 000 r/m] tos <--- tos + m.sr 4 - 9 faddp floating point add, pop de [1100 0 n] st(n) <--- st(n) + tos; then pop tos fiadd floating point integer add 32-bit integer da [mod 000 r/m] tos <--- tos + m.si 8 - 14 16-bit integer de [mod 000 r/m] tos <--- tos + m.wi 8 - 14 fchs floating change sign d9 e0 tos <--- - tos 2 fclex clear exceptions (9b) db e2 wait then clear exceptions 5 fnclex clear exceptions db e2 clear exceptions 3 fcmovb floating point conditional move if below da [1100 0 n] if (cf=1) st(0) <--- st(n) 4 fcmove floating point conditional move if equal da [1100 1 n] if (zf=1) st(0) <--- st(n) 4 fcmovbe floating point conditional move if below or equal da [1101 0 n] if (cf=1 or zf=1) st(0) <--- st(n) 4 fcmovu floating point conditional move if unordered da [1101 1 n] if (pf=1) st(0) <--- st(n) 4 fcmovnb floating point conditional move if not below db [1100 0 n] if (cf=0) st(0) <--- st(n) 4 fcmovne floating point conditional move if not equal db [1100 1 n] if (zf=0) st(0) <--- st(n) 4 fcmovnbe floating point conditional move if not below or equal db [1101 0 n] if (cf=0 and zf=0) st(0) <--- st(n) 4 fcmovnu floating point conditional move if not unordered db [1101 1 n] if (df=0) st(0) <--- st(n) 4 fcom floating point compare 80-bit register d8 [1101 0 n] cc set by tos - st(n) 4 64-bit real dc [mod 010 r/m] cc set by tos - m.dr 4 32-bit real d8 [mod 010 r/m] cc set by tos - m.sr 4 fcomp floating point compare, pop 80-bit register d8 [1101 1 n] cc set by tos - st(n); then pop tos 4 64-bit real dc [mod 011 r/m] cc set by tos - m.dr; then pop tos 4 32-bit real d8 [mod 011 r/m] cc set by tos - m.sr; then pop tos 4 fcompp floating point compare, pop two stack elements de d9 cc set by tos - st(1); then pop tos and st(1) 4 fcomi floating point compare real and set eflags 80-bit register db [1111 0 n] eflag set by tos - st(n) 4 fcomip floating point compare real and set eflags, pop 80-bit register df [1111 0 n] eflag set by tos - st(n); then pop tos 4 fucomi floating point unordered compare real and set eflags 80-bit integer db [1110 1 n] eflag set by tos - st(n) 9 - 10 fucomip floating point unordered compare real and set eflags, pop 80-bit integer df [1110 1 n] eflag set by tos - st(n); then pop tos 9 - 10 ficom floating point integer compare 32-bit integer da [mod 010 r/m] cc set by tos - m.wi 9 - 10 16-bit integer de [mod 010 r/m] cc set by tos - m.si 9 - 10 ficomp floating point integer compare, pop 32-bit integer da [mod 011 r/m] cc set by tos - m.wi; then pop tos 9 - 10 16-bit integer de [mod 011 r/m cc set by tos - m.si; then pop tos 9 - 10 fcos function evaluation: cos(x) d9 ff tos <--- cos(tos) 92 - 141 1 fdecstp decrement stack pointer d9 f6 decrement top of stack pointer 4
224 amd geode? gx1 processor data book instruction set revision 4.1 fdiv floating point divide top of stack dc [1111 1 n] st(n) <--- st(n) / tos 24 - 34 80-bit register d8 [1111 0 n] tos <--- tos / st(n) 24 - 34 64-bit real dc [mod 110 r/m] tos <--- tos / m.dr 24 - 34 32-bit real d8 [mod 110 r/m] tos <--- tos / m.sr 24 - 34 fdivp floating point divide, pop de [1111 1 n] st(n) <--- st(n) / tos; then pop tos 24 - 34 fdivr floating point divide reversed top of stack dc [1111 0 n] tos <--- st(n) / tos 24 - 34 80-bit register d8 [1111 1 n] st(n) <--- tos / st(n) 24 - 34 64-bit real dc [mod 111 r/m] tos <--- m.dr / tos 24 - 34 32-bit real d8 [mod 111 r/m] tos <--- m.sr / tos 24 - 34 fdivrp floating point divide reversed, pop de [1111 0 n] st(n) <--- tos / st(n); then pop tos 24 - 34 fidiv floating point integer divide 32-bit integer da [mod 110 r/m] tos <--- tos / m.si 34 - 38 16-bit integer de [mod 110 r/m] tos <--- tos / m.wi 34 - 38 fidivr floating point integer divide reversed 32-bit integer da [mod 111 r/m] tos <--- m.si / tos 34 - 38 16-bit integer de [mod 111 r/m] tos <--- m.wi / tos 34 - 38 ffree free floating point register dd [1100 0 n] tag(n) <--- empty 4 fincstp increment stack pointer d9 f7 increment top-of-stack pointer 2 finit initialize fpu (9b)db e3 wait, then initialize 8 fninit initialize fpu db e3 initialize 6 fld load data to fpu register top of stack d9 [1100 0 n] push st(n) onto stack 2 80-bit real db [mod 101 /m] push m.xr onto stack 2 64-bit real dd [mod 000 r/m] push m.dr onto stack 2 32-bit real d9 [mod 000 r/m] push m.sr onto stack 2 fbld load packed bcd data to fpu register df [mod 100 r/m] push m.bcd onto stack 41 - 45 fild load integer data to fpu register 64-bit integer df [mod 101 r/m] push m.li onto stack 4 - 8 32-bit integer db [mod 000 r/m] push m.si onto stack 4 - 6 16-bit integer df [mod 000 r/m] push m.wi onto stack 3 - 6 fld1 load floating const.= 1.0 d9 e8 push 1.0 onto stack 4 fldcw load fpu mode control register d9 [mod 101 r/m] ctl word <--- memory 4 fldenv load fpu environment d9 [mod 100 r/m] env regs <--- memory 30 fldl2e load floating const.= log2(e) d9 ea push log 2 (e) onto stack 4 fldl2t load floating const.= log2(10) d9 e9 push log 2 (10) onto stack 4 fldlg2 load floating const.= log10(2) d9 ec push log 10 (2) onto stack 4 fldln2 load floating const.= ln(2) d9 ed push log e (2) onto stack 4 fldpi load floating const.= d9 eb push onto stack 4 fldz load floating const.= 0.0 d9 ee push 0.0 onto stack 4 fmul floating point multiply top of stack dc [1100 1 n] st(n) <--- st(n) tos 4 - 9 80-bit register d8 [1100 1 n] tos <--- tos st(n) 4 - 9 64-bit real dc [mod 001 r/m] tos <--- tos m.dr 4 - 8 32-bit real d8 [mod 001 r/m] tos <--- tos m.sr 4 - 6 fmulp floating point multiply & pop de [1100 1 n] st(n) <--- st(n) tos; then pop tos 4 - 9 fimul floating point integer multiply 32-bit integer da [mod 001 r/m] tos <--- tos m.si 9 - 11 16-bit integer de [mod 001 r/m] tos <--- tos m.wi 8 - 10 fnop no operation d9 d0 no operation 2 fpatan function eval: tan-1(y/x) d9 f3 st(1) <--- atan[st(1) / tos]; then pop tos 97 - 161 3 table 8-29. fpu instruction set summary (continued) fpu instruction opcode operation clock count issue
amd geode? gx1 processor data book 225 instruction set revision 4.1 fprem floating point remainder d9 f8 tos <--- rem[tos / st(1)] 82 - 91 fprem1 floating point remainder ieee d9 f5 tos <--- rem[tos / st(1)] 82 - 91 fptan function eval: tan(x) d9 f2 tos <--- tan(tos); then push 1.0 onto stack 117 - 129 1 frndint round to integer d9 fc tos <--- round(tos) 10 - 20 frstor load fpu environment and register dd [mod 100 r/m] restore state 56 - 72 fsave save fpu environment and register (9b)dd [mod 110 r/m] wait, then save state 57 - 67 fnsave save fpu environment and register dd [mod 110 r/m] save state 55 - 65 fscale floating multiply by 2n d9 fd tos <--- tos 2 (st(1)) 7 - 14 fsin function evaluation: sin(x) d9 fe tos <--- sin(tos) 76 - 140 1 fsincos function eval.: sin(x)& cos(x) d9 fb temp <--- tos; tos <--- sin(temp); then push cos(temp) onto stack 145 - 161 1 fsqrt floating point square root d9 fa tos <--- square root of tos 59 - 60 fst store fpu register top of stack dd [1101 0 n] st(n) <--- tos 2 64-bit real dd [mod 010 r/m] m.dr <--- tos 2 32-bit real d9 [mod 010 r/m] m.sr <--- tos 2 fstp store fpu register, pop top of stack db [1101 1 n] st(n) <--- tos; then pop tos 2 80-bit real db [mod 111 r/m] m.xr <--- tos; then pop tos 2 64-bit real dd [mod 011 r/m] m.dr <--- tos; then pop tos 2 32-bit real d9 [mod 011 r/m] m.sr <--- tos; then pop tos 2 fbstp store bcd data, pop df [mod 110 r/m] m.bcd <--- tos; then pop tos 57 - 63 fist store integer fpu register 32-bit integer db [mod 010 r/m] m.si <--- tos 8 - 13 16-bit integer df [mod 010 r/m] m.wi <--- tos 7 - 10 fistp store integer fpu register, pop 64-bit integer df [mod 111 r/m] m.li <--- tos; then pop tos 10 - 13 32-bit integer db [mod 011 r/m] m.si <--- tos; then pop tos 8 - 13 16-bit integer df [mod 011 r/m] m.wi <--- tos; then pop tos 7 - 10 fstcw store fpu mode control register (9b)d9 [mod 111 r/m] wait memory <--- control mode register 5 fnstcw store fpu mode control register d9 [mod 111 r/m] memory <--- control mode register 3 fstenv store fpu environment (9b)d9 [mod 110 r/m] wait memory <--- env. registers 14 - 24 fnstenv store fpu environment d9 [mod 110 r/m] memory <--- env. registers 12 - 22 fstsw store fpu status register (9b)dd [mod 111 r/m] wait memory <--- status register 6 fnstsw store fpu status register dd [mod 111 r/m] memory <--- status register 4 fstsw ax store fpu status register to ax (9b)df e0 wait ax <--- status register 4 fnstsw ax store fpu status register to ax df e0 ax <--- status register 2 fsub floating point subtract top of stack dc [1110 1 n] st(n) <--- st(n) - tos 4 - 9 80-bit register d8 [1110 0 n] tos <--- tos - st(n 4 - 9 64-bit real dc [mod 100 r/m] tos <--- tos - m.dr 4 - 9 32-bit real d8 [mod 100 r/m] tos <--- tos - m.sr 4 - 9 fsubp floating point subtract, pop de [1110 1 n] st(n) <--- st(n) - tos; then pop tos 4 - 9 fsubr floating point subtract reverse top of stack dc [1110 0 n] tos <--- st(n) - tos 4 - 9 80-bit register d8 [1110 1 n] st(n) <--- tos - st(n) 4 - 9 64-bit real dc [mod 101 r/m] tos <--- m.dr - tos 4 - 9 32-bit real d8 [mod 101 r/m] tos <--- m.sr - tos 4 - 9 fsubrp floating point subtract reverse, pop de [1110 0 n] st(n) <--- tos - st(n); then pop tos 4 - 9 fisub floating point integer subtract 32-bit integer da [mod 100 r/m] tos <--- tos - m.si 14 - 29 16-bit integer de [mod 100 r/m] tos <--- tos - m.wi 14 - 27 table 8-29. fpu instruction set summary (continued) fpu instruction opcode operation clock count issue
226 amd geode? gx1 processor data book instruction set revision 4.1 fpu instruction summary issues all references to tos and st(n) refer to stack layout prior to execution. values popped off the stack are discarded. a pop from the stack increments the top of stack pointer. a push to the stack decrements the top of stack pointer. issues: 1. for fcos, fsin, fsincos and fptan, time shown is for absolute value of tos < 3p/4. add 90 clock counts for argument reduction if outside this range. for fcos, clock count is 141 if tos < /4 and clock count is 92 if /4 < tos > /2. for fsin, clock count is 81 to 82 if absolute value of tos < /4. 2. for f2xm1, clock count is 92 if absolute value of tos < 0.5. 3. for fpatan, clock count is 97 if st(1)/tos < /32. 4. for fyl2xp1, clock count is 170 if tos is out of range and regular fyl2x is called. 5. the following opcodes are reserved: d9d7, d9e2, d9e7, ddfc, ded8, deda, dedc, dedd, dede, dffc. if a reserved opcode is executed, and unpredictable results may occur (exceptions are not generated). fisubr floating point integer subtract reverse 32-bit integer reversed da [mod 101 r/m] tos <--- m.si - tos 14 - 29 16-bit integer reversed de [mod 101 r/m] tos <--- m.wi - tos 14 - 27 ftst test top of stack d9 e4 cc set by tos - 0.0 4 fucom unordered compare dd [1110 0 n] cc set by tos - st(n) 4 fucomp unordered compare, pop dd [1110 1 n] cc set by tos - st(n); then pop tos 4 fucompp unordered compare, pop two elements da e9 cc set by tos - st(i); then pop tos and st(1) 4 fwait wait 9b wait for fpu not busy 2 fxam report class of operand d9 e5 cc <--- class of tos 4 fxch exchange register with tos d9 [1100 1 n] tos <--> st(n) exchange 3 fxtract extract exponent d9 f4 temp <--- tos; tos <--- exponent (temp); then push significant (temp) onto stack 11 - 16 fly2x function eval. y log2(x) d9 f1 st(1) <--- st(1) log 2 (tos); then pop tos 145 - 154 fly2xp1 function eval. y log2(x+1) d9 f9 st(1) <--- st(1) log 2 (1+tos); then pop tos 131 - 133 4 table 8-29. fpu instruction set summary (continued) fpu instruction opcode operation clock count issue
amd geode? gx1 processor data book 227 instruction set revision 4.1 8.5 mmx instruction set the cpu is functionally divided into the fpu unit, and the integer unit. the fpu has been extended to process both mmx instructions and floating poi nt instructions in parallel with the integer unit. for example, when the integer unit detects an mmx instruction, the instruction pa sses to the fpu unit for exe- cution. the integer unit continues to execute instructions while the fpu unit executes th e mmx instruction. if another mmx instruction is encountered, the second mmx instruc- tion is placed in the mmx queue. up to four mmx instruc- tions can be queued. the mmx instruction set is summarized in table 8-31. the abbreviations used in the table are listed table 8-30. table 8-30. mmx instruction set table legend abbr. description <---- result written. [11 mm reg] binary or binary groups of digits. mm one of eight 64-bit mmx registers. reg a general purpose register. <--sat-- if required, the resultant data is saturated to remain in the associated data range. <--move-- source data is moved to result location. [byte] eight 8-bit bytes are processed in parallel. [word] four 16-bit words are processed in parallel. [dword] two 32-bit dwords ar e processed in parallel. [qword] one 64-bit qword is processed. [sign xxx] the byte, word, dword or qword most significant bit is a sign bit. mm1, mm2 mmx register 1, mmx register 2. mod r/m mod and r/m byte encoding (table 8-15 on page 205). pack source data is truncated or saturated to next smaller data size, then concatenated. packdw pack two dwords from source and two dwords from destination into four words in the destination register. packwb pack four words from source and four words from destination into eight bytes in the destination register.
228 amd geode? gx1 processor data book instruction set revision 4.1 table 8-31. mmx instruction set summary mmx instructions opcode operation a nd clock count (latency/throughput) emms empty mmx state 0f77 tag word <--- ffffh (empties the floating point tag word) 1/1 movd move doubleword register to mmx register 0f6e [11 mm reg] mmx reg [qword] <--move, zero extend-- reg [dword] 1/1 mmx register to register 0f7e [11 mm reg] reg [qword] <--move-- mmx reg [low dword] 5/1 memory to mmx register 0f6e [mod mm r/m] mmx regr[qword] <--move, zero extend-- memory[dword] 1/1 mmx register to memory 0f7e [mod mm r/m] memory [dword] <--move-- mmx reg [low dword] 1/1 movq move quardword mmx register 2 to mmx register 1 0f6f [11 mm1 mm2] mmx reg 1 [qword] <--move-- mmx reg 2 [qword] 1/1 mmx register 1 to mmx register 2 0f7f [11 mm1 mm2] mmx reg 2 [qword] <--move-- mmx reg 1 [qword] 1/1 memory to mmx register 0f6f [mod mm r/m] mmx reg [qword] <--move-- memory[qword] 1/1 mmx register to memory 0f7f [mod mm r/m] memory [qword] <--move-- mmx reg [qword] 1/1 packssdw pack dword with signed saturation mmx register 2 to mmx register 1 0f6b [11 mm1 mm2] mmx reg 1 [qword] <--packdw, signed sat-- mmx reg 2, mmx reg 1 1/1 memory to mmx register 0f6b [mod mm r/m] mmx reg [qword] <--packdw, signed sat-- memory, mmx reg 1/1 packsswb pack word with signed saturation mmx register 2 to mmx register 1 0f63 [11 mm1 mm2] mmx reg 1 [qword] <--packwb, signed sat-- mmx reg 2, mmx reg 1 1/1 memory to mmx register 0f63 [mod mm r/m] mmx reg [qword] <--packwb, signed sat-- memory, mmx reg 1/1 packuswb pack word with unsigned saturation mmx register 2 to mmx register 1 0f67 [11 mm1 mm2] mmx reg 1 [qword] <--packwb, unsigned sat-- mmx reg 2, mmx reg 1 1/1 memory to mmx register 0f67 [mod mm r/m] mmx reg [qword] <--packwb, unsigned sat-- memory, mmx reg 1/1 paddb packed add byte with wrap-around mmx register 2 to mmx register 1 0ffc [11 mm1 mm2] mmx reg 1 [byte] <---- mmx reg 1 [byte] + mmx reg 2 [byte] 1/1 memory to mmx register 0ffc [mod mm r/m] mmx reg[byte] <---- memory [byte] + mmx reg [byte] 1/1 paddd packed add dword with wrap-around mmx register 2 to mmx register 1 0ffe [11 mm1 mm2] mmx reg 1 [sign dword] <---- mmx reg 1 [sign dword] + mmx reg 2 [sign dword] 1/ 1 memory to mmx register 0ffe [mod mm r/m] mmx reg [sign dword] <---- memory [sign dword] + mmx reg [sign dword] 1/1 paddsb packed add signed byte with saturation mmx register 2 to mmx register 1 0fec [11 mm1 mm2] mmx reg 1 [sig n byte] <--sat-- mmx reg 1 [sign byte] + mmx reg 2 [sign byte] 1/ 1 memory to register 0fec [mod mm r/m] mmx reg [sign byte ] <--sat-- memory [sign byte] + mmx reg [sign byte] 1/1 paddsw packed add signed word with saturation mmx register 2 to mmx register 1 0fed [11 mm1 mm2] mmx reg 1 [sign word] <--sat-- mmx reg 1 [sign word] + mmx reg 2 [sign word] 1/ 1 memory to register 0fed [mod mm r/m] mmx reg [sign word] <--sat-- memory [sign word] + mmx reg [sign word] 1/1 paddusb add unsigned byte with saturation mmx register 2 to mmx register 1 0fdc [11 mm1 mm2] mmx reg 1 [byte] <--sat-- mmx reg 1 [byte] + mmx reg 2 [byte] 1/1 memory to register 0fdc [mod mm r/m] mmx reg [byte] <--sat-- memory [byte] + mmx reg [byte] 1/1 paddusw add unsigned word with saturation mmx register 2 to mmx register 1 0fdd [11 mm1 mm2] mmx reg 1 [word] <--sat-- mmx reg 1 [word] + mmx reg 2 [word] 1/1 memory to register 0fdd [mod mm r/m] mmx reg [word] <--sat-- memory [word] + mmx reg [word] 1/1 paddw packed add word with wrap-around mmx register 2 to mmx register 1 0ffd [11 mm1 mm2] mmx reg 1 [word] <---- mmx reg 1 [word] + mmx reg 2 [word] 1/1 memory to mmx register 0ffd [mod mm r/m] mmx reg [word] <---- memory [word] + mmx reg [word] 1/1 pand bitwise logical and mmx register 2 to mmx register 1 0fdb [11 mm1 mm2] mmx reg 1 [qword] <--logic and-- mmx reg 1 [qword], mmx reg 2 [qword] 1/1 memory to mmx register 0fdb [mod mm r/m] mmx reg [qword] <--logic and-- memory [qword], mmx reg [qword] pandn bitwise logical and not mmx register 2 to mmx register 1 0fdf [11 mm1 mm2] mmx reg 1 [qword] <--logic and -- not mmx reg 1 [qword], mmx reg 2 [qword] 1/1 memory to mmx register 0fdf [mod mm r/m] mmx reg [qword] <--logic and-- not mmx reg [qword], memory [qword] 1/1 pcmpeqb packed byte compare for equality mmx register 2 with mmx register 1 0f74 [11 mm1 mm2] mmx reg 1 [byte] <--ffh-- if mmx reg 1 [byte] = mmx reg 2 [byte] mmx reg 1 [byte]<--00h-- if mmx reg 1 [byte] not = mmx reg 2 [byte] 1/1 memory with mmx register 0f74 [mod mm r/m] mmx reg [byte] <--ffh-- if memory[byte] = mmx reg [byte] mmx reg [byte] <--00h-- if memory[byte] not = mmx reg [byte] 1/1 pcmpeqd packed dword compare for equality mmx register 2 with mmx register 1 0f76 [11 mm1 mm2] mmx reg 1 [dword] <--ffff ffffh-- if mmx reg 1 [dword] = mmx reg 2 [dword] mmx reg 1 [dword]<--0000 0000h--if mmx reg 1[dword] not = mmx reg 2 [dword] 1/1 memory with mmx register 0f76 [mod mm r/m] mmx reg [dword ] <--ffff ffffh-- if memory[dword] = mmx reg [dword] mmx reg [dword] <--0000 0000h-- if memory[dword] not = mmx reg [dword] 1/1
amd geode? gx1 processor data book 229 instruction set revision 4.1 pcmpeqw packed word compare for equality mmx register 2 with mmx register 1 0f75 [11 mm1 mm2] mmx reg 1 [word] <--ffffh-- if mmx reg 1 [word] = mmx reg 2 [word] mmx reg 1 [word]<--0000h-- if mmx reg 1 [word] not = mmx reg 2 [word] 1/1 memory with mmx register 0f75 [mod mm r/m] mmx reg [word] <--ffffh-- if memory[word] = mmx reg [word] mmx reg [word] <--0000h-- if memory[word] not = mmx reg [word] 1/1 pcmpgtb pack compare greater than byte mmx register 2 to mmx register 1 0f64 [11 mm1 mm2] mmx reg 1 [byte] <--ffh-- if mmx reg 1 [byte] > mmx reg 2 [byte] mmx reg 1 [byte]<--00h-- if mmx reg 1 [byte] not > mmx reg 2 [byte] 1/1 memory with mmx register 0f64 [mod mm r/m] mmx reg [byte] <--ffh-- if memory[byte] > mmx reg [byte] mmx reg [byte] <--00h-- if memory[byte] not > mmx reg [byte] 1/1 pcmpgtd pack compare greater than dword mmx register 2 to mmx register 1 0f66 [11 mm1 mm2] mmx reg 1 [dword] <--ffff ffffh-- if mmx reg 1 [dword] > mmx reg 2 [dword] mmx reg 1 [dword]<--0000 0000h--if mmx reg 1 [dword]not > mmx reg 2 [dword] 1/1 memory with mmx register 0f66 [mod mm r/m] mmx reg [dword ] <--ffff ffffh-- if memory[dword] > mmx reg [dword] mmx reg [dword] <--0000 0000h-- if memory[dword] not > mmx reg [dword] 1/1 pcmpgtw pack compare greater than word mmx register 2 to mmx register 1 0f65 [11 mm1 mm2] mmx reg 1 [word] <--ffffh-- if mmx reg 1 [word] > mmx reg 2 [word] mmx reg 1 [word]<--0000h-- if mmx reg 1 [word] not > mmx reg 2 [word] 1/1 memory with mmx register 0f65 [mod mm r/m] mmx reg [word] <--ffffh-- if memory[word] > mmx reg [word] mmx reg [word] <--0000h-- if memory[word] not > mmx reg [word] 1/1 pmaddwd packed multiply and add mmx register 2 to mmx register 1 0ff5 [11 mm1 mm2] mmx reg 1 [dword] <--add-- [dword]<---- mmx reg 1 [sign word]*mmx reg 2[sign word] 2/1 memory to mmx register 0ff5 [mod mm r/m] mmx reg 1 [dword] <--add-- [dword] <---- memory [sign word] * memory [sign word] 2/1 pmulhw packed multiply high mmx register 2 to mmx register 1 0fe5 [11 mm1 mm2] mmx reg 1 [word] <--upper bits-- mmx reg 1 [sign word] * mmx reg 2 [sign word] 2/1 memory to mmx register 0fe5 [mod mm r/m] mmx reg 1 [word] <--upper bits-- memory [sign word] * memory [sign word] 2/1 pmullw packed multiply low mmx register 2 to mmx register 1 0fd5 [11 mm1 mm2] mmx reg 1 [word] <--lower bits-- mmx reg 1 [sign word] * mmx reg 2 [sign word] 2/1 memory to mmx register 0fd5 [mod mm r/m] mmx reg 1 [word] <--lower bits-- memory [sign word] * memory [sign word] 2/1 por bitwise or mmx register 2 to mmx register 1 0feb [11 mm1 mm2] mmx reg 1 [qword] <--logic or-- mmx reg 1 [qword], mmx reg 2 [qword] 1/1 memory to mmx register 0feb [mod mm r/m] mmx reg [qword] <--logic or-- mmx reg [qword], memory[qword] 1/1 pslld packed shift left logical dword mmx register 1 by mmx register 2 0ff2 [11 mm1 mm2] mmx reg 1 [dword] <--shift left, shifting in zeroes by mmx reg 2 [dword]-- 1/1 mmx register by memory 0ff2 [mod mm r/m] mmx reg [dword] <--shift left, shifting in zeroes by memory[dword]-- 1/1 mmx register by immediate 0f72 [11 110 mm] # mmx reg [dword] <--shift left, shifting in zeroes by [im byte]-- 1/1 psllq packed shift left logical qword mmx register 1 by mmx register 2 0ff3 [11 mm1 mm2] mmx reg 1 [qword] <--shift left, shifting in zeroes by mmx reg 2 [qword]-- 1/1 mmx register by memory 0ff3 [mod mm r/m] mmx reg [qword] <--shift left, shifting in zeroes by [qword]-- 1/1 mmx register by immediate 0f73 [11 110 mm] # mmx reg [qword] <--shift left, shifting in zeroes by [im byte]-- 1/1 psllw packed shift left logical word mmx register 1 by mmx register 2 0ff1 [11 mm1 mm2] mmx reg 1 [word] <--shift left, shifting in zeroes by mmx reg 2 [word]-- 1/1 mmx register by memory 0ff1 [mod mm r/m] mmx reg [word] <--shift left, shifting in zeroes by memory[word]-- 1/1 mmx register by immediate 0f71 [11 110mm] # mmx reg [word] <--shift left, shifting in zeroes by [im byte]-- 1/1 psrad packed shift right arithmetic dword mmx register 1 by mmx register 2 0fe2 [11 mm1 mm2] mmx reg 1 [dword] <--arith shift right, shifting in zeroes by mmx reg 2 [dword --] 1/1 mmx register by memory 0fe2 [mod mm r/m] mmx reg [dword] <--arith shift right, shifting in zeroes by memory[dword]-- 1/1 mmx register by immediate 0f72 [11 100 mm] # mmx reg [dword] <--arith shift right, shifting in zeroes by [im byte]-- 1/1 psraw packed shift right arithmetic word mmx register 1 by mmx register 2 0fe1 [11 mm1 mm2] mmx reg 1 [word] <--arith shift right, shifting in zeroes by mmx reg 2 [word]- -1/1 mmx register by memory 0fe1 [mod mm r/m] mmx reg [word] <--arith shift right, shifting in zeroes by memory[word--] 1/1 mmx register by immediate 0f71 [11 100 mm] # mmx reg [word] <--arith shift right, shifting in zeroes by [im byte]-- 1/1 psrld packed shift right logical dword mmx register 1 by mmx register 2 0fd2 [11 mm1 mm2] mmx reg 1 [dword ] <--shift right, shifting in zeroes by mmx reg 2 [dword]-- 1/1 mmx register by memory 0fd2 [mod mm r/m] mmx reg [dword] <--shift right, shifting in zeroes by memory[dword]-- 1/1 mmx register by immediate 0f72 [11 010 mm] # mmx reg [dword] <--shift right, shifting in zeroes by [im byte]-- 1/1 psrlq packed shift right logical qword mmx register 1 by mmx register 2 0fd3 [11 mm1 mm2] mmx reg 1 [qwo rd] <--shift right, shifting in zeroes by mmx reg 2 [qword] 1/1 mmx register by memory 0fd3 [mod mm r/m] mmx reg [qword] <--shift right, shifting in zeroes by memory[qword] 1/1 mmx register by immediate 0f73 [11 010 mm] # mmx reg [qword] <--shift right, shifting in zeroes by [im byte] 1/1 table 8-31. mmx instruction set summary (continued) mmx instructions opcode operation a nd clock count (latency/throughput)
230 amd geode? gx1 processor data book instruction set revision 4.1 psrlw packed shift right logical word mmx register 1 by mmx register 2 0fd1 [11 mm1 mm2] mmx reg 1 [word] <--shift right, shifting in zeroes by mmx reg 2 [word] 1/1 mmx register by memory 0fd1 [mod mm r/m] mmx reg [word] <--shift right, shifting in zeroes by memory[word] 1/1 mmx register by immediate 0f71 [11 010 mm] # mmx reg [word] <--shift right, shifting in zeroes by imm[word] 1/1 psubb subtract byte with wrap-around mmx register 2 to mmx register 1 0ff8 [11 mm1 mm2] mmx reg 1 [byte] <---- mmx reg 1 [byte] subtract mmx reg 2 [byte] 1/1 memory to mmx register 0ff8 [mod mm r/m] mmx reg [byte] <---- mmx reg [byte] subtract memory [byte] 1/1 psubd subtract dword with wrap-around mmx register 2 to mmx register 1 0ffa [11 mm1 mm2] mmx reg 1 [dword] <---- mmx reg 1 [dword] subtract mmx reg 2 [dword] 1/1 memory to mmx register 0ffa [mod mm r/m] mmx reg [dword] <---- mmx reg [dword] subtract memory [dword] 1/1 psubsb subtract byte signed with saturation mmx register 2 to mmx register 1 0fe8 [11 mm1 mm2] mmx reg 1 [sig n byte] <--sat-- mmx reg 1 [sign byte] subtract mmx reg 2 [sign byte] 1/1 memory to mmx register 0fe8 [mod mm r/m] mmx reg [sign byte] <--sat-- mmx reg [sign byte] subtract memory [sign byte] 1/1 psubsw subtract word signed with saturation mmx register 2 to mmx register 1 0fe9 [11 mm1 mm2] mmx reg 1 [sign word] <--sat-- mmx reg 1 [sign word] subtract mmx reg 2 [sign word] 1/1 memory to mmx register 0fe9 [mod mm r/m] mmx reg [sign word] <--sat-- mmx reg [sign word] subtract memory [sign word] 1/1 psubusb subtract byte unsigned with saturation mmx register 2 to mmx register 1 0fd8 [11 mm1 mm2] mmx reg 1 [b yte] <--sat-- mmx reg 1 [byte] subtract mmx reg 2 [byte] 1/1 memory to mmx register 0fd8 [11 mm reg] mmx reg [byte] <--sat-- mmx reg [byte] subtract memory [byte] 1/1 psubusw subtract word unsigned with saturation mmx register 2 to mmx register 1 0fd9 [11 mm1 mm2] mmx reg 1 [word] <--sat-- mmx reg 1 [word] subtract mmx reg 2 [word] 1/1 memory to mmx register 0fd9 [11 mm reg] mmx reg [word] <--sat-- mmx reg [word] subtract memory [word] 1/1 psubw subtract word with wrap-around mmx register 2 to mmx register 1 0ff9 [11 mm1 mm2] mmx reg 1 [word] <---- mmx reg 1 [word] subtract mmx reg 2 [word] 1/1 memory to mmx register 0ff9 [mod mm r/m] mmx reg [word] <---- mmx reg [word] subtract memory [word] 1/1 punpckhbw unpack high packed byte, data to packed words mmx register 2 to mmx register 1 0f68 [11 mm1 mm2] mmx reg 1 [byte] <--interleave-- mmx reg 1 [up byte], mmx reg 2 [up byte] 1/1 memory to mmx register 0f68 [11 mm reg] mmx reg [byte] <--interleave-- memory [up byte], mmx reg [up byte] 1/1 punpckhdq unpack high packed dword, data to qword mmx register 2 to mmx register 1 0f6a [11 mm1 mm2] mmx reg 1 [dword] <--interleave-- mmx reg 1 [up dword], mmx reg 2 [up dword] 1/ 1 memory to mmx register 0f6a [11 mm reg] mmx reg [dword] <--interleave-- memory [up dword], mmx reg [up dword] 1/1 punpckhwd unpack high packed word, data to packed dwords mmx register 2 to mmx register 1 0f69 [11 mm1 mm2] mmx reg 1 [word] <--interleave-- mmx reg 1 [up word], mmx reg 2 [up word] 1/1 memory to mmx register 0f69 [11 mm reg] mmx reg [word] <--interleave-- memory [up word], mmx reg [up word] 1/1 punpcklbw unpack low packed byte, data to packed words mmx register 2 to mmx register 1 0f60 [11 mm1 mm2] mmx reg 1 [word] <--interleave-- mmx reg 1 [low byte], mmx reg 2 [low byte] 1/1 memory to mmx register 0f60 [11 mm reg] mmx reg [word] <--interleave-- memory [low byte], mmx reg [low byte] 1/1 punpckldq unpack low packed dword, data to qword mmx register 2 to mmx register 1 0f62 [11 mm1 mm2] mmx reg 1 [word] <--interleave-- mmx reg 1 [low dword], mmx reg 2 [low dword] 1 /1 memory to mmx register 0f62 [11 mm reg] mmx reg [word] <--interleave-- memory [low dword], mmx reg [low dword] 1/1 punpcklwd unpack low packed word, data to packed dwords mmx register 2 to mmx register 1 0f61 [11 mm1 mm2] mmx reg 1 [word] <--interleave-- mmx reg 1 [low word], mmx reg 2 [low word] 1/1 memory to mmx register 0f61 [11 mm reg] mmx reg [word] <--interleave-- memory [low word], mmx reg [low word] 1/1 pxor bitwise xor mmx register 2 to mmx register 1 0fef [11 mm1 mm2] mmx reg 1 [qword] <--logic exclusive or-- mmx reg 1 [qword], mmx reg 2 [qword] 1/1 memory to mmx register 0fef [11 mm reg] mmx reg [qword] <--logic exclusive or-- memory[qword], mmx reg [qword] 1/1 table 8-31. mmx instruction set summary (continued) mmx instructions opcode operation a nd clock count (latency/throughput)
amd geode? gx1 processor data book 231 instruction set revision 4.1 8.6 extended mmx instruction set amd has added instructions to its implementation of the mmx architecture in order to facilitate writing of multimedia applications. in general, these instructions allow more effi- cient implementation of multimedia algorithms, or more precision in computation than can be achieved using the basic set of mmx instructions. all of the added instructions follow the simd (single instruction, multiple data) format. many of the instructions add flexibility to the mmx architec- ture by allowing both source operands of an instruction to be preserved, while the result goes to a separate register that is derived from the input. table 8-33 summarizes the extended mmx instructions. the abbreviations used in the table are listed in table 8-32. configuration control register ccr7(0) at index ebh (see table 4-11 on page 55) must be set to allow the execution of the extended mmx instructions. table 8-32. extend mmx instruction set table legend abbr. description <---- result written. [11 mm reg] binary or binary groups of digits. mm one of eight 64-bit mmx registers. reg a general purpose register. <--sat-- if required, the resultant data is saturated to remain in the associated data range. <--move-- source data is moved to result location. [byte] eight 8-bit bytes are processed in parallel. [word] four 16-bit words are processed in parallel. [dword] two 32-bit dwords ar e processed in parallel. [qword] one 64-bit qword is processed. [sign xxx] the byte, word, dword or qword most significant bit is a sign bit. mm1, mm2 mmx register 1, mmx register 2. mod r/m mod and r/m byte encoding (table 8-15 on page 205). pack source data is truncated or saturated to next smaller data size, then concatenated. packdw pack two dwords from source and two dwords from destination into qwords in destination register. packwb pack qwords from source and qwords from destination into eight bytes in destination register.
232 amd geode? gx1 processor data book instruction set revision 4.1 table 8-33. extended mmx instruction set summary mmx instructions opcode operation and clock count paddsiw packed add signed word with saturation using implied destination mmx register plus mmx register to implied register 0f51 [11 mm1 mm2] sum signed packed word from mmx register/memory ---> signed packed word in mmx register, saturate, and write result - --> implied register 1 memory plus mmx register to implied register 0f51 [mod mm r/m] 1 pav eb packed average byte mmx register 2 with mmx register 1 0f50 [11 mm1 mm2] average packed byte from the mmx register/memory with packed byte in the mmx register. result is placed in the mmx register. 1 memory with mmx register 0f50 [mod mm r/m] 1 pdistib packed distance and accumulate with implied register memory, mmx register to implied register 0f54 [mod mm r/m] find absolute value of difference between packed byte in mem- ory and packed byte in the mmx register. using unsigned satu- ration, accumulate with value in implied destination register. 2 pmachriw packed multiply and accumulate with rounding memory to mmx register 0f5e[mod mm r/m] multiply the packed word in the mmx register by the packed word in memory. sum the 32-bit results pairwise. accumulate the result with the packed signed word in the implied destination register. 2 pmagw packed magnitude mmx register 2 to mmx register 1 0f52 [11 mm1 mm2] set the destination equal ---> the packed word with the largest magnitude, between the packed word in the mmx register/mem- ory and the mmx register. 2 memory to mmx register 0f52 [mod mm r/m] 2 pmulhriw packed multiply high with rounding, implied destination mmx register 2 to mmx register1 0f5d [11 mm1 mm2] packed multiply high with rounding and store bits 30 - 15 in implied register. 2 memory to mmx register 0f5d [mod mm r/m] 2 pmulhrw packed multiply high with rounding mmx register 2 to mmx register 1 0f59 [11 mm1 mm2] multiply the signed packed word in the mmx register/memory with the signed packed word in the mmx register. round with 1/ 2 bit 15, and store bits 30 - 15 of result in the mmx register. 2 memory to mmx register 0f59 [mod mm r/m] 2 pmvgezb packed conditional move if greater than or equal to zero memory to mmx register 0f5c [mod mm r/m] conditionally move packed byte from memory ---> packed byte in the mmx register if packed byte in implied mmx register is greater than or equal ---> zero. 1 pmvlzb packed conditional move if less than zero memory to mmx register 0f5b [mod mm r/m] conditionally move packed byte from memory ---> packed byte in the mmx register if packed byte in implied mmx register is less than zero. 1 pmvnzb packed conditional move if not zero memory to mmx register 0f5a [mod mm r/m] conditionally move packed byte from memory ---> packed byte in the mmx register if packed byte in implied mmx register is not zero. 1 pmvzb packed conditional move if zero memory to mmx register 0f58 [mod mm r/m] conditionally move packed byte from memory ---> packed byte in the mmx register if packed byte in implied the mmx register is zero. 1 psubsiw packed subtracted with saturation using implied destination mmx register 2 to mmx register 1 0f55 [11 mm1 mm2] subtract signed packed word in the mmx register/memory from signed packed word in the mmx register, saturate, and write result ---> implied register. 1 memory to mmx register 0f55 [mod mm r/m] 1
amd geode? gx1 processor data book 233 package specifications revision 4.1 9.0 package specifications the thermal characteristics and mechanical dimensions for the amd geode? gx1 processor are provided in the following subsections. 9.1 thermal characteristics table 9-1 shows the junction-to-case thermal resistance of the spga and bga package and can be used to calculate the junction (die) temperature under any given circum- stance. note that there is no specification for maximum junction temperature given since the operation of both spga and bga devices are guaranteed to a case temperature range of 0c to 85c (see table 7-4 on page 185). as long as the case temperature of the device is maintained within this range, the junction temperature of the die will also be main- tained within its allowable operating range. however, the die (junction) temperature under a given operating condi- tion can be calculated by using the following equation: t j = t c + (p * jc ) where: t j = junction temperature (c) t c = case temperature at top center of package (c) p = maximum power dissipation (w) j c = junction-to-case thermal resistance (c/w) these examples are given for reference only. the actual value used for maximum power (p) and ambient tempera- ture (t a ) is determined by the system designer based on system configuration, extremes of the operating environ- ment, and whether active thermal management (via sus- pend modulation) of the processor is employed. a maximum junction temperature is not specified since a maximum case temperature is. therefore, the following equation can be used to calculate the maximum thermal resistance required of the thermal solution for a given max- imum ambient temperature: where: cs = max case-to-heatsink thermal resistance (c/w) allowed for thermal solution sa = max heatsink-to-ambient thermal resistance (c/w) allowed for thermal solution t a = max ambient temperature (c) t c = max case temperature at top center of package (c) p = max power dissipation (w) if thermal grease is used between the case and heatsink, cs will reduce to about 0.01 c/w. therefore, the above equation can be simplified to: where: ca = max case-to-ambient thermal resistance (c/w) allowed for thermal solution. the calculated ca value (examples shown in table 9-2 on page 234) represents the maximum allowed thermal resis- tance of the selected cooling solution which is required to maintain the maximum t c (shown in table 7-4 on page 185) for the application in which the device is used. table 9-1. junction-to-case thermal resistance for spga and bga packages package j c spga 1.7 c/w bga 1.1 c/w c s s a + t c t a ? p --------------------- - = ca t c t a ? p --------------------- - =
234 amd geode? gx1 processor data book package specifications revision 4.1 9.1.1 heatsink considerations table 9-2 shows the maximum allowed thermal resistance of a heatsink for particular operating environments. the calculated values, defined as ca , represent the required ability of a particular heatsink to transfer heat generated by the processor from its case into the air, thereby maintaining the case temperature at or below 85c. because ca is a measure of thermal resistivity , it is inversely proportional to the heatsink?s ability to dissipate heat or it?s thermal conduc- tivity . note: a "perfect" heatsink would be able to maintain a case temperature equal to that of the ambient air inside the system chassis. looking at table 9-2, it can be seen that as ambient tem- perature (t a ) increases, ca decreases, and that as power consumption of the processor (p) increases, ca decreases. thus, the ability of the heatsink to dissipate ther- mal energy must increase as the processor power increases and as the temperature inside the enclosure increases. while ca is a useful parameter to calculate, heatsinks are not typically specified in terms of a single ca . this is because the thermal resistivity of a heatsink is not constant across power or temperature. in fact, heatsinks become slightly less efficient as the amount of heat they are trying to dissipate increases. for this reason, heatsinks are typi- cally specified by graphs that plot heat dissipation (in watts) vs. mounting surface (case) temperature rise above ambi- ent (in c). this method is necessary because ambient and case temperatures fluctuate c onstantly during normal oper- ation of the system. the system designer must be careful to choose the proper heatsink by matching the required ca with the thermal dissipation curve of the device under the entire range of operating conditions in order to make sure that the maximum case temperature (from table table 7-4 on page 185) is never exceeded. to choose the proper heatsink, the system designer must make sure that the cal- culated ca falls above the curve (shaded area). the curve itself defines the minimum temperature rise above ambient that the heatsink can maintain. see figure 9-1 as an example of a particular heatsink under consideration. figure 9-1. heatsink example table 9-2. case-to-ambient thermal resistance examples @ 85c core voltage (v cc2 ) core frequency maximum power (w) ca for different ambient temperatures (c/w) 20c 25c 30c 35c 40c 2.2v (nominal) 333 mhz 5.0 13 12 11 10 9 2.0v (nominal) 300 mhz 3.7 17 16 15 13 12 1.8v (nominal) 266 mhz 3.0 22 20 19 17 15 233 mhz 2.8 23 22 20 18 16 200 mhz 2.6 25 23 21 19 17 0 10 20 30 40 50 24 68 10 ca = 45/9 = 5 heat dissipated - watts ca = 45/5 = 9 mounting surface temperature rise above ambient ? c
amd geode? gx1 processor data book 235 package specifications revision 4.1 example 1 assume p (max) = 5w and t a (max) = 40c. therefore: the heatsink must provide a thermal resistance below 9c/w. in this case, the heatsink under consideration is more than adequate since at 5w worst case, it can limit the case temperature rise above ambient to 40c ( ca = 8). example 2 assume p (max) = 10w and t a (max) = 40c. therefore: in this case, the heatsink under consideration is not ade- quate to limit the case temperature rise above ambient to 45c for a 9w processor. for more information on thermal design considerations or heatsink properties, refer to the product selection guide of any leading vendor of thermal engineering solutions. ca t c t a ? p --------------------- - = ca 85 40 ? () 5 ---------------------- = ca 9 = ca t c t a ? p --------------------- - = ca 85 40 ? () 9 ---------------------- = ca 5 =
236 amd geode? gx1 processor data book package specifications revision 4.1 9.2 physical dimensions dimensions for the bga package are shown in figure 9-2. fi gure 9-3 shows the spga dimensions. table 9-3 on page 238 gives the legend for the symbols used in both package outlines. figure 9-2. 352-terminal bga mechanical package outline sym millimeters inches min max min max a 1.35 2.23 0.053 0.088 a1 0.40 0.65 0.016 0.026 a2 0.47 0.83 0.019 0.033 aaa 0.20 0.008 b 0.60 0.90 0.024 0.035 d 34.80 35.20 1.370 1.386 d1 31.55 31.95 1.242 1.258 d2 32.80 35.20 1.291 1.386 f 0.35 0.014 s1 1.42 1.82 0.056 0.072 e1 1.27 basic 0.05 basic f d2 a01 index chamfer 1.5 mm on a side 45 degree angle cu heat spreader .889 ref. s1 d1 d d 1.5 1.5 e1 b a1 a2 a seating plane aaa z z
amd geode? gx1 processor data book 237 package specifications revision 4.1 figure 9-3. 320-pin spga mechanical package outline f d a01 index mark .030" blank circle inside .060" filled circle to form donut sym millimeters inches min max min max a 2.51 3.07 0.099 0.121 b 0.41 0.51 0.016 0.020 d 49.28 49.91 1.940 1.965 d1 45.47 45.97 1.790 1.810 f -- 0.127 diag -- 0.005 diag l 2.97 3.38 0.117 0.133 s1 1.65 2.16 0.065 0.085 e1 2.54 basic 0.100 basic e2 1.27 basic 0.050 basic seating plane l e2 e1 b a 45 chamfer pin c3 2.29 1.52 ref. (index corner) 1.65 ref. s1 d1 d d o 1.40 2.16
238 amd geode? gx1 processor data book package specifications revision 4.1 table 9-3. mechanical package outline legend symbol meaning a distance from seating plane datum to highest point of body a1 solder ball height a2 laminate thickness (excluding heat spreader) aaa coplanarity b pin or solder ball diameter d largest overall package outline dimension d1 length from outer pin c enter to outer pin center d2 heat spreader outline dimension e1 bga: solder ball pitch spga: linear spacing between true pin position centerlines e2 linear spacing between true pin position centerlines for staggered pins fflatness l distance from seating plane to tip of pin s1 length from outer pin/ball center to edge of laminate
amd geode? gx1 processor data book 239 appendix a: support documentation revision 4.1 appendix a support documentation a.1 order information a.2 data book revision history this document is a report of the revision/ creation process of the data book for the gx1 processor. any revisions (i.e., addi- tions, deletions, parameter corrections, etc.) are recorded in the tables below. order number part marking core frequency (mhz) core voltage (v cc2 ) temperature (degree c) package gx1-333p-85-2.2 gx1-333p-85-2.2 333 2.2v 85 spga gx1-333b-85-2.2 gx1-333b-85-2.2 333 2.2v 85 bga gx1-300p-85-2.0 gx1-300p-85-2.0 300 2.0v 85 spga gx1-300b-85-2.0 gx1-300b-85-2.0 300 2.0v 85 bga gx1-266p-85-1.8 gx1-266p-85-1.8 266 1.8v 85 spga gx1-266b-85-1.8 gx1-266b-85-1.8 266 85 bga gx1-233p-85-1.8 gx1-233p-85-1.8 233 85 spga gx1-233b-85-1.8 gx1-233b-85-1.8 233 85 bga gx1-200p-85-1.8 gx1-200p-85-1.8 200 85 spga gx1-200b-85-1.8 gx1-200b-85-1.8 200 85 bga table a-1. revision history revision # (pdf date) revisions / comments 0.1 (11/30/99) creation phase 0.2 (12/7/99) entered first round of edits from tm e with only the acs in the electrical section. 0.3 (12/9/99) added dcs to electrical section. 0.4 (3/9/00) added changes from engineering. 0.5 (3/22/00) added changes from engineering. 1.0 (4/1/00) added changes from engineering. 1.1 (6/1/00) majority of changes were to electrical specifications section. 2.0 (7/6/00) edits to display resolution, memory controller, and configuration register. refer to revision 2.0 for details. 2.1 (10/4/00) added definitions to some previously rsvd bits in pcr0 and pcr1. corrected bit numbering in dc_line_delta. modifications made to electrical section. refer to revision 2.1 for details. 2.2 (1/12/01) majority of changes were to electrical spec ifications section. refer to revision 2.2 for details. 3.0 (2/23/01) added 333 mhz values. refer to revision 3.0 for details. 3.1 (3/21/01) minor modifications. refer to revision 3.1 for details.
240 amd geode? gx1 processor data book appendix a: support documentation revision 4.1 4.0 (6/28/01) changed 200 mhz operation from 1.6v to 1.8v and modified all power and current numbers for that sku (stock keeping unit). additional minor edits. refer to revision 4.0 for details. 4.1 (05/24/02) minor modifications. see table a-2 for complete descriptions of the modifications. table a-2. edits to current revision section revision section 7.0 ?electri- cal specifications?  section 7.7 "ac characteristics" on page 192: ? changed v cc3 parameter to read: v cc3 = 3.14v to 3.46v.  section 7.7 "ac characteristics" on page 192: ? added footnote to table 7-15 "v cc2 and v cc3 power sequencing" on page 193. section 9.0 ?package specifications?  section 9.1 "thermal characteristics" on page 233 ? corrected the ?where? statement under the last equation on the page. table a-1. revision history revision # (pdf date) revisions / comments
one amd place p.o. box 3453, sunnyvale, ca 94088-3453 usa tel: 408-732-2400 or 800-538-8450 twx: 910-339-9280 telex: 34-6306 technical support usa & canada: 800-222-9323 or 408-749-5703 usa & canada: pc microprocessor: 408-749-3060 usa & canada email: pcs.support@amd.com latin america email: spanish.support@amd.com argentina: 001-800-200-1111, after tone 800-859-4478 chile: 800-532-853 mexico: 95-800-222-9323 europe & uk: +44?0-1276-803299 fax: +44?0-1276-803298 france: 0800-908-621 germany: +49?89-450-53199 italy: 800-877224 europe email: euro.tech@amd.com far east fax: 852-2956-0588 japan fax: 81-3-3346-7848 www.amd.com


▲Up To Search▲   

 
Price & Availability of GX1-300P-85-20

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X